文件名称:4X4

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 62kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 昌**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于CPLD的4X4键盘输入+液晶显示程序,以VHDL语言书写-CPLD based on the 4X4 keyboard input+ LCD procedures to VHDL language
(系统自动生成,下载前可以参看下载内容)

下载文件列表

INPUT-TO_LCD

............\bcdto7seg.vhd

............\clk_devide.bsf

............\CLK_DEVIDE.vhd

............\cmp_state.ini

............\converter.bsf

............\db

............\..\input.db_info

............\..\input.eco.cdb

............\..\input.sld_design_entry.sci

............\..\input_cmp.qrpt

............\input.asm.rpt

............\input.cdf

............\input.done

............\input.fit.eqn

............\input.fit.rpt

............\input.fit.summary

............\input.flow.rpt

............\input.map.eqn

............\input.map.rpt

............\input.map.summary

............\input.pin

............\input.pof

............\input.qpf

............\input.qsf

............\input.qws

............\input.tan.rpt

............\input.tan.summary

............\input_assignment_defaults.qdf

............\keyboard4_4.bsf

............\keyboard4_4.vhd

............\led4bits7seg.bsf

............\led4bits7seg.vhd

............\main.bsf

............\TODAC.bdf

............\xiaodou.bsf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org