文件名称:Timer

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 486kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • lizhu*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

ep2c5 实现 定时器



verilog语言,quartus 2 仿真-verilog language to achieve ep2c5 timer, quartus 2 Simulation
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Timer

.....\Timer

.....\.....\db

.....\.....\..\prev_cmp_Timer.asm.qmsg

.....\.....\..\prev_cmp_Timer.fit.qmsg

.....\.....\..\prev_cmp_Timer.map.qmsg

.....\.....\..\prev_cmp_Timer.qmsg

.....\.....\..\prev_cmp_Timer.tan.qmsg

.....\.....\..\Timer.asm.qmsg

.....\.....\..\Timer.asm_labs.ddb

.....\.....\..\Timer.cbx.xml

.....\.....\..\Timer.cmp.bpm

.....\.....\..\Timer.cmp.cdb

.....\.....\..\Timer.cmp.ecobp

.....\.....\..\Timer.cmp.hdb

.....\.....\..\Timer.cmp.logdb

.....\.....\..\Timer.cmp.rdb

.....\.....\..\Timer.cmp.tdb

.....\.....\..\Timer.cmp0.ddb

.....\.....\..\Timer.cmp2.ddb

.....\.....\..\Timer.db_info

.....\.....\..\Timer.eco.cdb

.....\.....\..\Timer.fit.qmsg

.....\.....\..\Timer.hier_info

.....\.....\..\Timer.hif

.....\.....\..\Timer.map.bpm

.....\.....\..\Timer.map.cdb

.....\.....\..\Timer.map.ecobp

.....\.....\..\Timer.map.hdb

.....\.....\..\Timer.map.logdb

.....\.....\..\Timer.map.qmsg

.....\.....\..\Timer.map_bb.cdb

.....\.....\..\Timer.map_bb.hdb

.....\.....\..\Timer.map_bb.hdbx

.....\.....\..\Timer.map_bb.logdb

.....\.....\..\Timer.pre_map.cdb

.....\.....\..\Timer.pre_map.hdb

.....\.....\..\Timer.psp

.....\.....\..\Timer.root_partition.cmp.atm

.....\.....\..\Timer.root_partition.cmp.dfp

.....\.....\..\Timer.root_partition.cmp.hdbx

.....\.....\..\Timer.root_partition.cmp.logdb

.....\.....\..\Timer.root_partition.cmp.rcf

.....\.....\..\Timer.root_partition.map.atm

.....\.....\..\Timer.root_partition.map.hdbx

.....\.....\..\Timer.root_partition.map.info

.....\.....\..\Timer.rtlv.hdb

.....\.....\..\Timer.rtlv_sg.cdb

.....\.....\..\Timer.rtlv_sg_swap.cdb

.....\.....\..\Timer.sgdiff.cdb

.....\.....\..\Timer.sgdiff.hdb

.....\.....\..\Timer.signalprobe.cdb

.....\.....\..\Timer.sld_design_entry.sci

.....\.....\..\Timer.sld_design_entry_dsc.sci

.....\.....\..\Timer.syn_hier_info

.....\.....\..\Timer.tan.qmsg

.....\.....\..\Timer.tis_db_list.ddb

.....\.....\Timer.asm.rpt

.....\.....\Timer.done

.....\.....\Timer.dpf

.....\.....\Timer.fit.rpt

.....\.....\Timer.fit.smsg

.....\.....\Timer.fit.summary

.....\.....\Timer.flow.rpt

.....\.....\Timer.map.rpt

.....\.....\Timer.map.summary

.....\.....\Timer.pin

.....\.....\Timer.pof

.....\.....\Timer.qpf

.....\.....\Timer.qsf

.....\.....\Timer.sof

.....\.....\Timer.tan.rpt

.....\.....\Timer.tan.summary

.....\.....\Timer.v

.....\.....\Timer_Cout.v

.....\.....\Timer_Disp.v

.....\数字钟.pdf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org