文件名称:lab3

  • 所属分类:
  • 软件工程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 534kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • Kri****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

verilog source code for uart design
相关搜索: verilog
uart
uart
verilog

(系统自动生成,下载前可以参看下载内容)

下载文件列表

lab3

....\.lso

....\FIFO.bld

....\FIFO.cmd_log

....\FIFO.lfp

....\FIFO.lso

....\FIFO.ncd

....\FIFO.ngc

....\FIFO.ngd

....\FIFO.ngr

....\FIFO.pad

....\FIFO.par

....\FIFO.pcf

....\FIFO.prj

....\FIFO.stx

....\FIFO.syr

....\fifo.twr

....\fifo.twx

....\FIFO.ucf

....\FIFO.unroutes

....\FIFO.v

....\FIFO.xpi

....\FIFO.xst

....\FIFO_map.mrp

....\FIFO_map.ncd

....\FIFO_map.ngm

....\FIFO_pad.csv

....\FIFO_pad.txt

....\FIFO_prev_built.ngd

....\FIFO_stx.prj

....\FIFO_summary.html

....\FIFO_usage.xml

....\FIFO_vhdl.prj

....\isim

....\....\temp

....\....\....\hdllib.ref

....\....\....\hdpdeps.ref

....\....\....\vlg2D

....\....\....\.....\glbl.bin

....\....\....\vlg35

....\....\....\.....\test1.bin

....\....\....\vlg70

....\....\....\.....\testfifo.bin

....\....\....\vlg7C

....\....\....\.....\_f_i_f_o.bin

....\....\work

....\....\....\glbl

....\....\....\....\glbl.h

....\....\....\....\mingw

....\....\....\....\.....\glbl.obj

....\....\....\hdllib.ref

....\....\....\hdpdeps.ref

....\....\....\test1

....\....\....\.....\mingw

....\....\....\.....\.....\test1.obj

....\....\....\.....\test1.h

....\....\....\.....\xsimtest1.cpp

....\....\....\testfifo

....\....\....\........\mingw

....\....\....\........\.....\testfifo.obj

....\....\....\........\testfifo.h

....\....\....\........\xsimtestfifo.cpp

....\....\....\vlg2D

....\....\....\.....\glbl.bin

....\....\....\vlg35

....\....\....\.....\test1.bin

....\....\....\vlg70

....\....\....\.....\testfifo.bin

....\....\....\vlg7C

....\....\....\.....\_f_i_f_o.bin

....\....\....\_f_i_f_o

....\....\....\........\mingw

....\....\....\........\.....\_f_i_f_o.obj

....\....\....\........\xsim_f_i_f_o.cpp

....\....\....\........\_f_i_f_o.h

....\isim.cmd

....\isim.hdlsourcefiles

....\isim.log

....\isim.tmp_save

....\.............\_1

....\isimwavedata.xwv

....\lab3.ise

....\lab3.ise_ISE_Backup

....\lab3.ntrc_log

....\test.v

....\test1.prj

....\test1.stx

....\test1.v

....\test1.xst

....\test1_beh.prj

....\test1_isim_beh.exe

....\test1_stx.prj

....\test1_vhdl.prj

....\testfifo.prj

....\testfifo.stx

....\testfifo.v

....\testfifo.xst

....\testfifo_beh.prj

....\testfifo_isim_beh.exe

....\testfifo_stx.prj

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org