文件名称:lpm_mul

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 27.15kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 刘**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

8*8的乘法器verilog源代码,经过编译仿真的,绝对真确,对初学者很有帮助-8 * 8 Multiplier verilog source code, compiled simulation, absolute authenticity, helpful for beginners
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 41695033lpm_mul.rar 列表
lpm_mul\lpm.cr.mti
lpm_mul\lpm.mpf
lpm_mul\lpm_mult.v
lpm_mul\lpm_mult.v.bak
lpm_mul\lpm_multtest.v
lpm_mul\lpm_multtest.v.bak
lpm_mul\transcript
lpm_mul\vsim.wlf
lpm_mul\work\lpm_mult\verilog.psm
lpm_mul\work\lpm_mult\_primary.dat
lpm_mul\work\lpm_mult\_primary.vhd
lpm_mul\work\lpm_mult
lpm_mul\work\lpm_multest\verilog.psm
lpm_mul\work\lpm_multest\_primary.dat
lpm_mul\work\lpm_multest\_primary.vhd
lpm_mul\work\lpm_multest
lpm_mul\work\_info
lpm_mul\work
lpm_mul

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org