文件名称:74595

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1.19mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • liuc****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

串并转换仿真,内有详细说明和仿真波形,能够成功运行-SERDES simulation
(系统自动生成,下载前可以参看下载内容)

下载文件列表

串并转换74595及说明

...................\FPGA

...................\....\db

...................\....\..\altsyncram_86a1.tdf

...................\....\..\FPGA.asm.qmsg

...................\....\..\FPGA.asm_labs.ddb

...................\....\..\FPGA.cbx.xml

...................\....\..\FPGA.cmp.bpm

...................\....\..\FPGA.cmp.cdb

...................\....\..\FPGA.cmp.ecobp

...................\....\..\FPGA.cmp.hdb

...................\....\..\FPGA.cmp.kpt

...................\....\..\FPGA.cmp.logdb

...................\....\..\FPGA.cmp.rdb

...................\....\..\FPGA.cmp_merge.kpt

...................\....\..\FPGA.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd

...................\....\..\FPGA.cuda_io_sim_cache.45um_ss_1200mv_85c_slow.hsd

...................\....\..\FPGA.db_info

...................\....\..\FPGA.eco.cdb

...................\....\..\FPGA.fit.qmsg

...................\....\..\FPGA.hier_info

...................\....\..\FPGA.hif

...................\....\..\FPGA.map.bpm

...................\....\..\FPGA.map.cdb

...................\....\..\FPGA.map.ecobp

...................\....\..\FPGA.map.hdb

...................\....\..\FPGA.map.kpt

...................\....\..\FPGA.map.logdb

...................\....\..\FPGA.map.qmsg

...................\....\..\FPGA.map_bb.cdb

...................\....\..\FPGA.map_bb.hdb

...................\....\..\FPGA.map_bb.hdbx

...................\....\..\FPGA.map_bb.logdb

...................\....\..\FPGA.pre_map.cdb

...................\....\..\FPGA.pre_map.hdb

...................\....\..\FPGA.psp

...................\....\..\FPGA.rtlv.hdb

...................\....\..\FPGA.rtlv_sg.cdb

...................\....\..\FPGA.rtlv_sg_swap.cdb

...................\....\..\FPGA.sgdiff.cdb

...................\....\..\FPGA.sgdiff.hdb

...................\....\..\FPGA.sim.cvwf

...................\....\..\FPGA.sim.qmsg

...................\....\..\FPGA.sld_design_entry.sci

...................\....\..\FPGA.sld_design_entry_dsc.sci

...................\....\..\FPGA.sta.qmsg

...................\....\..\FPGA.sta.rdb

...................\....\..\FPGA.sta_cmp.8_slow_1200mv_85c.tdb

...................\....\..\FPGA.syn_hier_info

...................\....\..\FPGA.tiscmp.fastest_slow_1200mv_0c.ddb

...................\....\..\FPGA.tiscmp.fastest_slow_1200mv_85c.ddb

...................\....\..\FPGA.tiscmp.fast_1200mv_0c.ddb

...................\....\..\FPGA.tiscmp.slow_1200mv_0c.ddb

...................\....\..\FPGA.tiscmp.slow_1200mv_85c.ddb

...................\....\..\FPGA.tis_db_list.ddb

...................\....\..\FPGA.tmw_info

...................\....\..\prev_cmp_FPGA.asm.qmsg

...................\....\..\prev_cmp_FPGA.fit.qmsg

...................\....\..\prev_cmp_FPGA.map.qmsg

...................\....\..\prev_cmp_FPGA.qmsg

...................\....\..\prev_cmp_FPGA.sim.qmsg

...................\....\..\prev_cmp_FPGA.sta.qmsg

...................\....\..\prev_cmp_FPGA.tan.qmsg

...................\....\..\wed.wsf

...................\....\FPGA.asm.rpt

...................\....\FPGA.bdf

...................\....\FPGA.done

...................\....\FPGA.dpf

...................\....\FPGA.fit.rpt

...................\....\FPGA.fit.smsg

...................\....\FPGA.fit.summary

...................\....\FPGA.flow.rpt

...................\....\FPGA.map.rpt

...................\....\FPGA.map.summary

...................\....\FPGA.pin

...................\....\FPGA.pof

...................\....\FPGA.qpf

...................\....\FPGA.qsf

...................\....\FPGA.qws

...................\....\FPGA.sim.rpt

...................\....\FPGA.sof

...................\....\FPGA.sta.rpt

...................\....\FPGA.sta.summary

...................\....\FPGA.tan.rpt

...................\....\FPGA.tan.summary

...................\....\FPGA.vwf

...................\....\FPGA_assignment_defaults.qdf

...................\....\incremental_db

...................\....\..............\compiled_partitions

...................\....\..............\...................\FPGA.root_partition.cmp.atm

...................\....\..............\...................\FPGA.root_partition.cmp.d

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org