文件名称:keyboard_vhdl

  • 所属分类:
  • 驱动编程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 623kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • ar***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

ps2 keyboard with encoding ascii code to 7-segments screeen.

LIBRARY ieee

USE ieee.std_logic_1164.all

USE ieee.std_logic_arith.all

USE ieee.std_logic_unsigned.all



ENTITY klawa IS

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC

    SW : in std_logic_vector(4 downto 0)

    HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)

  )

end klawa



architecture Behavioral of klawa is





component keyboard

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC

    scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )

    scan_ready : OUT STD_LOGIC

  )

END component -ps2 keyboard with encoding ascii code to 7-segments screeen.

LIBRARY ieee 

USE ieee.std_logic_1164.all 

USE ieee.std_logic_arith.all 

USE ieee.std_logic_unsigned.all 



ENTITY klawa IS

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC 

    SW : in std_logic_vector(4 downto 0)

    HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)

  )

end klawa



architecture Behavioral of klawa is





component keyboard

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC 

    scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) 

    scan_ready : OUT STD_LOGIC

  )

END component
相关搜索: PS2
KEYBOARD
PS2
Keyboard
vhdl

(系统自动生成,下载前可以参看下载内容)

下载文件列表

keyboard_vhdl\hex.vhd

.............\hex.vhd.bak

.............\keyboard.vhd

.............\keyboard.vhd.bak

.............\klawa.asm.rpt

.............\klawa.done

.............\klawa.dpf

.............\klawa.fit.rpt

.............\klawa.fit.summary

.............\klawa.flow.rpt

.............\klawa.map.rpt

.............\klawa.map.summary

.............\klawa.pin

.............\klawa.pof

.............\klawa.qpf

.............\klawa.qsf

.............\klawa.qsf.bak

.............\klawa.qws

.............\klawa.sof

.............\klawa.tan.rpt

.............\klawa.tan.summary

.............\klawa.vhd

.............\klawa.vhd.bak

.............\klawa_assignment_defaults.qdf

.............\pisanie.vhd

.............\pisanie.vhd.bak

.............\db\klawa.asm.qmsg

.............\..\klawa.asm_labs.ddb

.............\..\klawa.cbx.xml

.............\..\klawa.cmp.bpm

.............\..\klawa.cmp.cdb

.............\..\klawa.cmp.ecobp

.............\..\klawa.cmp.hdb

.............\..\klawa.cmp.logdb

.............\..\klawa.cmp.rdb

.............\..\klawa.cmp.tdb

.............\..\klawa.cmp0.ddb

.............\..\klawa.cmp_bb.cdb

.............\..\klawa.cmp_bb.hdb

.............\..\klawa.cmp_bb.logdb

.............\..\klawa.cmp_bb.rcf

.............\..\klawa.dbp

.............\..\klawa.db_info

.............\..\klawa.eco.cdb

.............\..\klawa.fit.qmsg

.............\..\klawa.hier_info

.............\..\klawa.hif

.............\..\klawa.map.bpm

.............\..\klawa.map.cdb

.............\..\klawa.map.ecobp

.............\..\klawa.map.hdb

.............\..\klawa.map.logdb

.............\..\klawa.map.qmsg

.............\..\klawa.map_bb.cdb

.............\..\klawa.map_bb.hdb

.............\..\klawa.map_bb.logdb

.............\..\klawa.pre_map.cdb

.............\..\klawa.pre_map.hdb

.............\..\klawa.psp

.............\..\klawa.pss

.............\..\klawa.rtlv.hdb

.............\..\klawa.rtlv_sg.cdb

.............\..\klawa.rtlv_sg_swap.cdb

.............\..\klawa.sgdiff.cdb

.............\..\klawa.sgdiff.hdb

.............\..\klawa.sld_design_entry.sci

.............\..\klawa.sld_design_entry_dsc.sci

.............\..\klawa.syn_hier_info

.............\..\klawa.tan.qmsg

.............\..\klawa.tis_db_list.ddb

.............\..\prev_cmp_klawa.asm.qmsg

.............\..\prev_cmp_klawa.fit.qmsg

.............\..\prev_cmp_klawa.map.qmsg

.............\..\prev_cmp_klawa.qmsg

.............\..\prev_cmp_klawa.tan.qmsg

.............\db

keyboard_vhdl

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org