文件名称:usb

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 254kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 王*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

USB完整代码 包括vhdl和verilog两种-usb ip core
相关搜索: USB

(系统自动生成,下载前可以参看下载内容)

下载文件列表

usb完整代码\verilog\include\stm_def.v

...........\.......\.......\Udevconnections.v

...........\.......\.......\Udevctldef.v

...........\.......\.......\Udevendpoints.v

...........\.......\.......\Udevinouts.v

...........\.......\.......\Udevios.v

...........\.......\.......\Udevreadmux.v

...........\.......\.......\usb_tb_def.v

...........\.......\.......\Usiecntrdef.v

...........\.......\.......\Usiecrcdef.v

...........\.......\.......\Usiedcddef.v

...........\.......\.......\Usiedplldef.v

...........\.......\.......\Usienrzidef.v

...........\.......\.......\Usienrzodef.v

...........\.......\.......\Usiepktdef.v

...........\.......\.......\Uusbdef.v

...........\.......\.......\Uvendorcmdinouts.v

...........\.......\.......\Uvendorcmdios.v

...........\.......\.......\Uvendorconnections.v

...........\.......\smarti\README

...........\.......\......\smarti.v

...........\.......\......\smarti_box.v

...........\.......\......\smarti_params.v

...........\.......\......\tri_bus.v

...........\.......\usbcode\.README.swp

...........\.......\.......\dffr.v

...........\.......\.......\README

...........\.......\.......\stm_def.v

...........\.......\.......\tri_signal.v

...........\.......\.......\U8051int.v

...........\.......\.......\Ubulkfiforx.v

...........\.......\.......\Ubulkfifotx.v

...........\.......\.......\Ucore.v

...........\.......\.......\UCtlreg.v

...........\.......\.......\Udevctl.v

...........\.......\.......\Udeviceintf.v

...........\.......\.......\Udevrom.v

...........\.......\.......\Udevsof.v

...........\.......\.......\Udualfifo.srp

...........\.......\.......\Udualfifo.v

...........\.......\.......\Udualfiforx.srp

...........\.......\.......\Udualfiforx.v

...........\.......\.......\Udualfiforx_tmpl.v

...........\.......\.......\Udualfifo_tmpl.v

...........\.......\.......\Udual_mmc_sm.v

...........\.......\.......\Udual_mmc_sm.v_sm

...........\.......\.......\Ufifo.v

...........\.......\.......\Ufifo3rd.v

...........\.......\.......\Ufifocont.v

...........\.......\.......\Ufiforx.v

...........\.......\.......\Ufiforxcont.v

...........\.......\.......\Ufpga2.v

...........\.......\.......\Uparts.v

...........\.......\.......\Usbmonitor.v

...........\.......\.......\Usbtop.v

...........\.......\.......\usb_mp3.v

...........\.......\.......\usb_mp3_dual.v

...........\.......\.......\usb_tb_def.v

...........\.......\.......\Userialeng.v

...........\.......\.......\Usiecntr.v

...........\.......\.......\Usiecrc.v

...........\.......\.......\Usiedcd.v

...........\.......\.......\Usiedpll.v

...........\.......\.......\Usienrzi.v

...........\.......\.......\Usienrzo.v

...........\.......\.......\Usiepkt.v

...........\.......\.......\Utop.v

...........\.......\.......\Utop_mmc_sm.v

...........\.......\.......\Uusb_dual.v

...........\.......\.......\Uusb_mmc_sm.v

...........\.......\...mmc\cmd_transmit.v

...........\.......\......\data_receive.v

...........\.......\......\data_transmit.v

...........\.......\......\gen_clock_5m.v

...........\.......\......\mmc_tri_bus.v

...........\.......\......\receive.v

...........\.......\......\status_receive.v

...........\.......\......\transcript

...........\.......\......\transmit.v

...........\.......\......\usbmmc.v

...........\.......\......\usbmmc_box.v

...........\.......\......\usbmmc_variable.v

...........\.hdl\hdli.vhd

...........\....\usb_new_clkrec_ent.vhdl

...........\....\usb_new_clkrec_rtl.vhdl

...........\....\usb_new_device_handler_ent.vhdl

...........\....\usb_new_device_handler_rtl.vhdl

...........\....\usb_new_ep_handler_ent.vhdl

...........\....\usb_new_ep_handler_rtl.vhdl

...........\....\usb_new_glue_ent.vhdl

...........\....\usb_new_glue_rtl.vhdl

...........\....\usb_new_pck_apb.vhdl

...........\....\usb_new_pck_commands.vhdl

...........\....\usb_new_pck_configuration.vhdl

...........\....\usb_new_pck_general.vhdl

...........\....\usb_new_pck_handlers.vhdl

...........\....\usb_new_pck_setup.vhdl

...........\....\usb_new_pck_usb.vhdl

...........\....\usb_new_pvci_cntrl_ent.vhdl

...........\....\usb_new_pvci_cntrl_rtl.vhdl

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org