文件名称:sdr_sdram_EP1C3T144C8N

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2014-06-03
  • 文件大小:
  • 5.16mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 黄**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于FPGA芯片 EP1C3T144C8N的SDRAM verilog hdl代码-the SDRAM verilog hdl code based on FPGA chip-- EP1C3T144C8N
(系统自动生成,下载前可以参看下载内容)

下载文件列表





sdr_sdram_EP1C3T144C8N

......................\LCD_Sample_FIFO.bsf

......................\LCD_Sample_FIFO.cmp

......................\LCD_Sample_FIFO.qip

......................\LCD_Sample_FIFO.v

......................\LCD_Sample_FIFO_bb.v

......................\LCD_Sample_FIFO_wave0.jpg

......................\LCD_Sample_FIFO_wave1.jpg

......................\LCD_Sample_FIFO_waveforms.html

......................\PLL1.v

......................\Params.v

......................\Params.v.bak

......................\SY_RS_FF.v

......................\SY_RS_FF.v.bak

......................\altclklock.v

......................\command.v

......................\command.v.bak

......................\compile_all.v

......................\control_interface.v

......................\control_interface.v.bak

......................\db

......................\..\a_fefifo_2bc.tdf

......................\..\a_fefifo_pec.tdf

......................\..\a_gray2bin_q4b.tdf

......................\..\a_graycounter_j06.tdf

......................\..\a_graycounter_k06.tdf

......................\..\a_graycounter_u06.tdf

......................\..\add_sub_4g8.tdf

......................\..\add_sub_918.tdf

......................\..\add_sub_a18.tdf

......................\..\add_sub_gub.tdf

......................\..\add_sub_se8.tdf

......................\..\alt_sync_fifo_m2l.tdf

......................\..\alt_sync_fifo_vvk.tdf

......................\..\alt_synch_pipe_oc8.tdf

......................\..\alt_synch_pipe_pc8.tdf

......................\..\alt_synch_pipe_qc8.tdf

......................\..\alt_synch_pipe_rc8.tdf

......................\..\altsyncram_5l92.tdf

......................\..\altsyncram_90e1.tdf

......................\..\altsyncram_9f82.tdf

......................\..\altsyncram_9pf1.tdf

......................\..\altsyncram_c1d1.tdf

......................\..\altsyncram_cp82.tdf

......................\..\altsyncram_d1d1.tdf

......................\..\altsyncram_d3e1.tdf

......................\..\altsyncram_g9c1.tdf

......................\..\altsyncram_i941.tdf

......................\..\altsyncram_j4e1.tdf

......................\..\altsyncram_lr22.tdf

......................\..\altsyncram_nf92.tdf

......................\..\altsyncram_pk81.tdf

......................\..\altsyncram_prf1.tdf

......................\..\altsyncram_q1e1.tdf

......................\..\altsyncram_ql72.tdf

......................\..\altsyncram_qnb1.tdf

......................\..\altsyncram_r1e1.tdf

......................\..\altsyncram_s1e1.tdf

......................\..\altsyncram_s2s1.tdf

......................\..\altsyncram_t7c1.tdf

......................\..\altsyncram_u7c1.tdf

......................\..\altsyncram_v2c1.tdf

......................\..\altsyncram_v7c1.tdf

......................\..\cmpr_33g.tdf

......................\..\cmpr_bq5.tdf

......................\..\cmpr_ig8.tdf

......................\..\cntr_1ta.tdf

......................\..\cntr_cta.tdf

......................\..\cntr_kua.tdf

......................\..\cntr_lua.tdf

......................\..\cntr_tue.tdf

......................\..\dcfifo_eqi1.tdf

......................\..\dcfifo_fmi1.tdf

......................\..\dcfifo_g8j1.tdf

......................\..\dcfifo_h4j1.tdf

......................\..\dcfifo_qoi1.tdf

......................\..\dcfifo_sli1.tdf

......................\..\dffpipe_gd9.tdf

......................\..\dffpipe_id9.tdf

......................\..\dffpipe_jd9.tdf

......................\..\dffpipe_kd9.tdf

......................\..\dffpipe_ld9.tdf

......................\..\dpram_65v.tdf

......................\..\dpram_u3v.tdf

......................\..\mux_pgc.tdf

......................\..\prev_cmp_sdr_sdram.asm.qmsg

......................\..\prev_cmp_sdr_sdram.fit.qmsg

......................\..\prev_cmp_sdr_sdram.map.qmsg

......................\..\prev_cmp_sdr_sdram.qmsg

......................\..\prev_cmp_sdr_sdram.sta.qmsg

......................\..\prev_cmp_sdr_sdram.tan.qmsg

......................\..\sdr_sdram.asm.qmsg

......................\..\sdr_sdram.atom.rvd

......................\..\sdr_sdram.atom_map.

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org