文件名称:ReadWrite-RAM-VHDL-source-code

  • 所属分类:
  • 教育/学校应用
  • 资源属性:
  • 上传时间:
  • 2015-02-28
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • s*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

This page of VHDL source code covers read RAM and write to RAM vhdl code.



RAM stands for Random Access memory.It is a form of data storage for various applications.



1K refers 10 lines used for Address bus (as 2^10=1024)

8 refers Data Bus lines are 8

Hence, each location can store 8 bits (i.e. 1 byte each)

ADR: in std_logc_vector (9 downto 0)

D: inout std_logic_vector (7 downto 0)

CS: in std_logic

OE: in std_logic

WR: in std_logic-This page of VHDL source code covers read RAM and write to RAM vhdl code.



RAM stands for Random Access memory.It is a form of data storage for various applications.



1K refers 10 lines used for Address bus (as 2^10=1024)

8 refers Data Bus lines are 8

Hence, each location can store 8 bits (i.e. 1 byte each)

ADR: in std_logc_vector (9 downto 0)

D: inout std_logic_vector (7 downto 0)

CS: in std_logic

OE: in std_logic

WR: in std_logic
(系统自动生成,下载前可以参看下载内容)

下载文件列表





ReadWrite RAM VHDL source code\ReadWrite RAM VHDL source code.vhdl

ReadWrite RAM VHDL source code

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org