文件名称:61EDA_C1479

  • 所属分类:
  • 图形/文字识别
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 36.14mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 蔡**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

实现人脸识别的代码,是上海交大赵峰老师的实现代码-Achieve face recognition code, Zhao Feng of Shanghai Jiaotong University teachers to achieve code
(系统自动生成,下载前可以参看下载内容)

下载文件列表

facedetected

............\ESD_01_OVERVIEW.pdf

............\ESD_01_OVERVIEW.ppt

............\ESD_02_GNUTOOLS.pdf

............\ESD_02_GNUTOOLS.ppt

............\ESD_03_edk_intro.pdf

............\ESD_03_edk_intro.ppt

............\ESD_04_hardware_design.pdf

............\ESD_04_hardware_design.ppt

............\ESD_05_HW_design_edk.pdf

............\ESD_05_HW_design_edk.ppt

............\ESD_06_adding_ip.pdf

............\ESD_06_adding_ip.ppt

............\ESD_07_software_design.pdf

............\ESD_07_software_design.ppt

............\ESD_08_address_management.pdf

............\ESD_08_address_management.ppt

............\ESD_CASE

............\........\cogen_file

............\........\..........\cam_fifo_25_16.edn

............\........\..........\cam_fifo_25_16.veo

............\........\..........\cam_fifo_25_16_fifo_generator_v3_2_xst_1.ngc

............\........\..........\ram_26000_8.edn

............\........\..........\ram_26000_8.veo

............\........\..........\ram_8_101376.edn

............\........\..........\ram_8_101376.veo

............\........\..........\vga_fifo_8_16.edn

............\........\..........\vga_fifo_8_16.veo

............\........\..........\vga_fifo_8_16_fifo_generator_v3_2_xst_1.ngc

............\........\doc

............\........\...\演示操作方法.doc

............\........\...\视频模块挂接流程.doc

............\........\solution

............\........\........\system.mhs

............\........\........\system.ucf

............\........\........\TestApp_Vport.c

............\........\........\user_logic.v

............\........\........\video_ram.vhd

............\........\........\video_ram_v2_1_0.mpd

............\........\........\video_ram_v2_1_0.pao

............\........\src

............\........\...\readme.txt

............\........\...\练习

............\........\...\....\ram_test.c

............\........\...\....\user_logic.v

............\........\...\....\video_ram.pao

............\........\...\视频模块挂接

............\........\...\............\app

............\........\...\............\...\TestApp_Vport.c

............\........\...\............\system.mhs

............\........\...\............\system.ucf

............\........\...\............\video_port

............\........\...\............\..........\bus_function.v

............\........\...\............\..........\cam_cap.v

............\........\...\............\..........\cam_ctrl.v

............\........\...\............\..........\cam_decode.v

............\........\...\............\..........\cam_fifo_25_16.v

............\........\...\............\..........\cam_out.v

............\........\...\............\..........\cam_top.v

............\........\...\............\..........\cam_vga_ctrl.v

............\........\...\............\..........\cam_vga_top.v

............\........\...\............\..........\frame_ram.v

............\........\...\............\..........\i2c.v

............\........\...\............\..........\ram.v

............\........\...\............\..........\ram_26000_8.v

............\........\...\............\..........\ram_8_101376.v

............\........\...\............\..........\rom_test.v

............\........\...\............\..........\user_logic.v

............\........\...\............\..........\user_logic.v.bak

............\........\...\............\..........\vga_adapter.v

............\........\...\............\..........\vga_fifo_8_16.v

............\........\...\............\..........\vga_frame_addr_gen.v

............\........\...\............\..........\vga_if.v

............\........\...\............\..........\vga_timing_gen.v

............\........\...\............\..........\wrapper.v

............\ESD_LAB

............\.......\labdocs

............\.......\.......\lab1ppc.doc

............\.......\.......\lab2ppc.doc

............\.......\.......\lab3ppc.doc

............\.......\.......\lab4ppc.doc

............\.......\.......\lab5ppc.doc

............\.......\.......\lab6ppc.doc

............\.......\source

............\.......\......\dip&push.c

............\.......\......\system_timer.c

............\.......\......\xupv2pro_Lin

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org