文件名称:PLL

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 788.28kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 许**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

PLL 时钟模块  Quartus II平台的简单设计实例 附仿真波形
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 43680552pll.rar 列表
PLL\PLL\altpll0.bsf
PLL\PLL\altpll0.cmp
PLL\PLL\altpll0.ppf
PLL\PLL\altpll0.vhd
PLL\PLL\altpll0_wave0.jpg
PLL\PLL\altpll0_waveforms.html
PLL\PLL\db\pll.(0).cnf.cdb
PLL\PLL\db\pll.(0).cnf.hdb
PLL\PLL\db\pll.(1).cnf.cdb
PLL\PLL\db\pll.(1).cnf.hdb
PLL\PLL\db\pll.(2).cnf.cdb
PLL\PLL\db\pll.(2).cnf.hdb
PLL\PLL\db\pll.analyze_file.qmsg
PLL\PLL\db\pll.asm.qmsg
PLL\PLL\db\pll.cbx.xml
PLL\PLL\db\pll.cmp.cdb
PLL\PLL\db\pll.cmp.hdb
PLL\PLL\db\pll.cmp.kpt
PLL\PLL\db\pll.cmp.logdb
PLL\PLL\db\pll.cmp.rdb
PLL\PLL\db\pll.cmp.tdb
PLL\PLL\db\pll.cmp0.ddb
PLL\PLL\db\pll.dbp
PLL\PLL\db\pll.db_info
PLL\PLL\db\pll.eco.cdb
PLL\PLL\db\pll.eds_overflow
PLL\PLL\db\pll.fit.qmsg
PLL\PLL\db\pll.fnsim.hdb
PLL\PLL\db\pll.fnsim.qmsg
PLL\PLL\db\pll.hier_info
PLL\PLL\db\pll.hif
PLL\PLL\db\pll.map.cdb
PLL\PLL\db\pll.map.hdb
PLL\PLL\db\pll.map.logdb
PLL\PLL\db\pll.map.qmsg
PLL\PLL\db\pll.mif_update.qmsg
PLL\PLL\db\pll.pre_map.cdb
PLL\PLL\db\pll.pre_map.hdb
PLL\PLL\db\pll.psp
PLL\PLL\db\pll.rtlv.hdb
PLL\PLL\db\pll.rtlv_sg.cdb
PLL\PLL\db\pll.rtlv_sg_swap.cdb
PLL\PLL\db\pll.sgdiff.cdb
PLL\PLL\db\pll.sgdiff.hdb
PLL\PLL\db\pll.signalprobe.cdb
PLL\PLL\db\pll.sim.hdb
PLL\PLL\db\pll.sim.qmsg
PLL\PLL\db\pll.sim.rdb
PLL\PLL\db\pll.sim.vwf
PLL\PLL\db\pll.sld_design_entry.sci
PLL\PLL\db\pll.sld_design_entry_dsc.sci
PLL\PLL\db\pll.syn_hier_info
PLL\PLL\db\pll.tan.qmsg
PLL\PLL\db\wed.zsf
PLL\PLL\db
PLL\PLL\pll.asm.rpt
PLL\PLL\pll.bdf
PLL\PLL\pll.done
PLL\PLL\pll.dpf
PLL\PLL\pll.fit.rpt
PLL\PLL\pll.fit.smsg
PLL\PLL\pll.fit.summary
PLL\PLL\pll.flow.rpt
PLL\PLL\pll.map.rpt
PLL\PLL\pll.map.summary
PLL\PLL\pll.mif_update.rpt
PLL\PLL\pll.pin
PLL\PLL\pll.qpf
PLL\PLL\pll.qsf
PLL\PLL\pll.qws
PLL\PLL\pll.sim.rpt
PLL\PLL\pll.tan.rpt
PLL\PLL\pll.tan.summary
PLL\PLL\pll.vwf
PLL\PLL\PLL_Mode_waveforms.html
PLL\PLL
PLL\PLL2\db\PLL2.(0).cnf.cdb
PLL\PLL2\db\PLL2.(0).cnf.hdb
PLL\PLL2\db\PLL2.(1).cnf.cdb
PLL\PLL2\db\PLL2.(1).cnf.hdb
PLL\PLL2\db\PLL2.asm.qmsg
PLL\PLL2\db\PLL2.cbx.xml
PLL\PLL2\db\PLL2.cmp.cdb
PLL\PLL2\db\PLL2.cmp.hdb
PLL\PLL2\db\PLL2.cmp.kpt
PLL\PLL2\db\PLL2.cmp.logdb
PLL\PLL2\db\PLL2.cmp.rdb
PLL\PLL2\db\PLL2.cmp.tdb
PLL\PLL2\db\PLL2.cmp0.ddb
PLL\PLL2\db\PLL2.dbp
PLL\PLL2\db\PLL2.db_info
PLL\PLL2\db\PLL2.eco.cdb
PLL\PLL2\db\PLL2.fit.qmsg
PLL\PLL2\db\PLL2.hier_info
PLL\PLL2\db\PLL2.hif
PLL\PLL2\db\PLL2.map.cdb
PLL\PLL2\db\PLL2.map.hdb
PLL\PLL2\db\PLL2.map.logdb
PLL\PLL2\db\PLL2.map.qmsg
PLL\PLL2\db\PLL2.pre_map.cdb
PLL\PLL2\db\PLL2.pre_map.hdb
PLL\PLL2\db\PLL2.psp
PLL\PLL2\db\PLL2.rtlv.hdb
PLL\PLL2\db\PLL2.rtlv_sg.cdb
PLL\PLL2\db\PLL2.rtlv_sg_swap.cdb
PLL\PLL2\db\PLL2.sgdiff.cdb
PLL\PLL2\db\PLL2.sgdiff.hdb
PLL\PLL2\db\PLL2.signalprobe.cdb
PLL\PLL2\db\PLL2.sld_design_entry.sci
PLL\PLL2\db\PLL2.sld_design_entry_dsc.sci
PLL\PLL2\db\PLL2.syn_hier_info
PLL\PLL2\db\PLL2.tan.qmsg
PLL\PLL2\db\wed.zsf
PLL\PLL2\db
PLL\PLL2\PLL2\db\PLL2.(0).cnf.cdb
PLL\PLL2\PLL2\db\PLL2.(0).cnf.hdb
PLL\PLL2\PLL2\db\PLL2.(1).cnf.cdb
PLL\PLL2\PLL2\db\PLL2.(1).cnf.hdb
PLL\PLL2\PLL2\db\PLL2.asm.qmsg
PLL\PLL2\PLL2\db\PLL2.cbx.xml
PLL\PLL2\PLL2\db\PLL2.cmp.cdb
PLL\PLL2\PLL2\db\PLL2.cmp.hdb
PLL\PLL2\PLL2\db\PLL2.cmp.kpt
PLL\PLL2\PLL2\db\PLL2.cmp.logdb
PLL\PLL2\PLL2\db\PLL2.cmp.rdb
PLL\PLL2\PLL2\db\PLL2.cmp.tdb
PLL\PLL2\PLL2\db\PLL2.cmp0.ddb
PLL\PLL2\PLL2\db\PLL2.dbp
PLL\PLL2\PLL2\db\PLL2.db_info
PLL\PLL2\PLL2\db\PLL2.eco.cdb
PLL\PLL2\PLL2\db\PLL2.eds_overflow
PLL\PLL2\PLL2\db\PLL2.fit.qmsg
PLL\PLL2\PLL2\db\PLL2.hier_info
PLL\PLL2\PLL2\db\PLL2.hif
PLL\PLL2\PLL2\db\PLL2.map.cdb
PLL\PLL2\PLL2\db\PLL2.map.hdb
PLL\PLL2\PLL2\db\PLL2.map.logdb
PLL\PLL2\PLL2\db\PLL2.map.qmsg
PLL\PLL2\PLL2\db\PLL2.pre_map.cdb
PLL\PLL2\PLL2\db\PLL2.pre_map.hdb
PLL\PLL2\PLL2\db\PLL2.psp
PLL\PLL2\PLL2\db\PLL2.rtlv.hdb
PLL\PLL2\PLL2\db\PLL2.rtlv_sg.cdb
PLL\PLL2\PLL2\db\PLL2.rtlv_sg_swap.cdb
PLL\PLL2\PLL2\db\PLL2.sgdiff.cdb
PLL\PLL2\PLL2\db\PLL2.sgdiff.hdb
PLL\PLL2\PLL2\db\PLL2.signalprobe.cdb
PLL\PLL2\PLL2\db\PLL2.sim.hdb
PLL\PLL2\PLL2\db\PLL2.sim.qmsg
PLL\PLL2\PLL2\db\PLL2.sim.rdb
PLL\PLL2\PLL2\db\PLL2.sim.vwf
PLL\PLL2\PLL2\db\PLL2.sld_design_entry.sci
PLL\PLL2\PLL2\db\PLL2.sld_design_entry_dsc.sci
PLL\PLL2\PLL2\db\PLL2.syn_hier_info
PLL\PLL2\PLL2\db\PLL2.tan.qmsg
PLL\PLL2\PLL2\db\wed.zsf
PLL\PLL2\PLL2\db
PLL\PLL2\PLL2\PLL2.asm.rpt
PLL\PLL2\PLL2\PLL2.bsf
PLL\PLL2\PLL2\PLL2.cmp
PLL\PLL2\PLL2\PLL2.done
PLL\PLL2\PLL2\PLL2.dpf
PLL\PLL2\PLL2\PLL2.fit.rpt
PLL\PLL2\PLL2\PLL2.fit.smsg
PLL\PLL2\PLL2\PLL2.fit.summary
PLL\PLL2\PLL2\PLL2.flow.rpt
PLL\PLL2\PLL2\PLL2.inc
PLL\PLL2\PLL2\PLL2.map.rpt
PLL\PLL2\PLL2\PLL2.map.summary
PLL\PLL2\PLL2\PLL2.pin
PLL\PLL2\PLL2\PLL2.ppf
PLL\PLL2\PLL2\PLL2.qpf
PLL\PLL2\PLL2\PLL2.qsf
PLL\PLL2\PLL2\PLL2.qws
PLL\PLL2\PLL2\PLL2.sim.rpt
PLL\PLL2\PLL2\PLL2.sim.vwf
PLL\PLL2\PLL2\PLL2.tan.rpt
PLL\PLL2\PLL2\PLL2.tan.summary
PLL\PLL2\PLL2\PLL2.v
PLL\PLL2\PLL2\PLL2.vwf
PLL\PLL2\PLL2\PLL2_bb.v
PLL\PLL2\PLL2\PLL2_inst.v
PLL\PLL2\PLL2\PLL2_waveforms.html
PLL\PLL2\PLL2\pll_B1__clk0.mif
PLL\PLL2\PLL2
PLL\PLL2
PLL\PLL_mode\db\PLL_mode.(0).cnf.cdb
PLL\PLL_mode\db\PLL_mode.(0).cnf.hdb
PLL\PLL_mode\db\PLL_mode.(1).cnf.cdb
PLL\PLL_mode\db\PLL_mode.(1).cnf.hdb
PLL\PLL_mode\db\PLL_mode.asm.qmsg
PLL\PLL_mode\db\PLL_mode.cbx.xml
PLL\PLL_mode\db\PLL_mode.cmp.cdb
PLL\PLL_mode\db\PLL_mode.cmp.hdb
PLL\PLL_mode\db\PLL_mode.cmp.kpt
PLL\PLL_mode\db\PLL_mode.cmp.logdb
PLL\PLL_mode\db\PLL_mode.cmp.rdb
PLL\PLL_mode\db\PLL_mode.cmp.tdb
PLL\PLL_mode\db\PLL_mode.cmp0.ddb
PLL\PLL_mode\db\PLL_mode.dbp
PLL\PLL_mode\db\PLL_mode.db_info
PLL\PLL_mode\db\PLL_mode.eco.cdb
PLL\PLL_mode\db\PLL_mode.eds_overflow
PLL\PLL_mode\db\PLL_mode.fit.qmsg
PLL\PLL_mode\db\PLL_mode.hier_info
PLL\PLL_mode\db\PLL_mode.hif
PLL\PLL_mode\db\PLL_mode.map.cdb
PLL\PLL_mode\db\PLL_mode.map.hdb
PLL\PLL_mode\db\PLL_mode.map.logdb
PLL\PLL_mode\db\PLL_mode.map.qmsg
PLL\PLL_mode\db\PLL_mode.pre_map.cdb
PLL\PLL_mode\db\PLL_mode.pre_map.hdb
PLL\PLL_mode\db\PLL_mode.psp
PLL\PLL_mode\db\PLL_mode.rtlv.hdb
PLL\PLL_mode\db\PLL_mode.rtlv_sg.cdb
PLL\PLL_mode\db\PLL_mode.rtlv_sg_swap.cdb
PLL\PLL_mode\db\PLL_mode.sgdiff.cdb
PLL\PLL_mode\db\PLL_mode.sgdiff.hdb
PLL\PLL_mode\db\PLL_mode.signalprobe.cdb
PLL\PLL_mode\db\PLL_mode.sim.hdb
PLL\PLL_mode\db\PLL_mode.sim.qmsg
PLL\PLL_mode\db\PLL_mode.sim.rdb
PLL\PLL_mode\db\PLL_mode.sim.vwf
PLL\PLL_mode\db\PLL_mode.sld_design_entry.sci
PLL\PLL_mode\db\PLL_mode.sld_design_entry_dsc.sci
PLL\PLL_mode\db\PLL_mode.syn_hier_info
PLL\PLL_mode\db\PLL_mode.tan.qmsg
PLL\PLL_mode\db\wed.zsf
PLL\PLL_mode\db
PLL\PLL_mode\PLL_mode.asm.rpt
PLL\PLL_mode\PLL_Mode.bsf
PLL\PLL_mode\PLL_Mode.cmp
PLL\PLL_mode\PLL_mode.done
PLL\PLL_mode\PLL_mode.dpf
PLL\PLL_mode\PLL_mode.fit.rpt
PLL\PLL_mode\PLL_mode.fit.smsg
PLL\PLL_mode\PLL_mode.fit.summary
PLL\PLL_mode\PLL_mode.flow.rpt
PLL\PLL_mode\PLL_mode.map.rpt
PLL\PLL_mode\PLL_mode.map.summary
PLL\PLL_mode\PLL_mode.pin
PLL\PLL_mode\PLL_Mode.ppf
PLL\PLL_mode\PLL_mode.qpf
PLL\PLL_mode\PLL_mode.qsf
PLL\PLL_mode\PLL_mode.qws
PLL\PLL_mode\PLL_mode.sim.rpt
PLL\PLL_mode\PLL_mode.sim.vwf
PLL\PLL_mode\PLL_mode.tan.rpt
PLL\PLL_mode\PLL_mode.tan.summary
PLL\PLL_mode\PLL_Mode.vhd
PLL\PLL_mode\PLL_mode.vwf
PLL\PLL_mode\PLL_Mode_wave0.jpg
PLL\PLL_mode
PLL

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org