文件名称:9-12

  • 所属分类:
  • 数学计算/工程计算
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 140kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • df***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

这是一个超声波发射的控制电路的设计,可以发出连续的单载波脉冲.-This is an ultrasonic launch control circuit design, a continuous single-carrier pulse.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

9-12

....\db

....\..\emit.asm.qmsg

....\..\emit.cbx.xml

....\..\emit.cmp.cdb

....\..\emit.cmp.hdb

....\..\emit.cmp.kpt

....\..\emit.cmp.logdb

....\..\emit.cmp.rdb

....\..\emit.cmp.tdb

....\..\emit.cmp0.ddb

....\..\emit.dbp

....\..\emit.db_info

....\..\emit.eco.cdb

....\..\emit.eds_overflow

....\..\emit.fit.qmsg

....\..\emit.hier_info

....\..\emit.hif

....\..\emit.map.cdb

....\..\emit.map.hdb

....\..\emit.map.logdb

....\..\emit.map.qmsg

....\..\emit.pre_map.cdb

....\..\emit.pre_map.hdb

....\..\emit.psp

....\..\emit.rtlv.hdb

....\..\emit.rtlv_sg.cdb

....\..\emit.rtlv_sg_swap.cdb

....\..\emit.sgdiff.cdb

....\..\emit.sgdiff.hdb

....\..\emit.signalprobe.cdb

....\..\emit.sim.hdb

....\..\emit.sim.qmsg

....\..\emit.sim.rdb

....\..\emit.sim.vwf

....\..\emit.sld_design_entry.sci

....\..\emit.sld_design_entry_dsc.sci

....\..\emit.syn_hier_info

....\..\emit.tan.qmsg

....\..\wed.zsf

....\emit.asm.rpt

....\emit.done

....\emit.fit.rpt

....\emit.fit.smsg

....\emit.fit.summary

....\emit.flow.rpt

....\emit.map.rpt

....\emit.map.summary

....\emit.pin

....\emit.qpf

....\emit.qsf

....\emit.qws

....\emit.sim.rpt

....\emit.tan.rpt

....\emit.tan.summary

....\emit.vhd

....\emit.vwf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org