文件名称:VHDLfullCODEforCAcodeGenerator

  • 所属分类:
  • 软件工程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 29kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • pe***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

VHDLfullCODEforCAcodeGenerator.rar为CA码发生器的完整VHDL程序,可用于CA码的产生与仿真验证等。-VHDLfullCODEforCAcodeGenerator.rar for the CA code generator complete VHDL program can be used to generate CA code verification and simulation.
相关搜索: CARRY_DCO
VHD
CA
code

(系统自动生成,下载前可以参看下载内容)

下载文件列表

VHDL完整代码-----CA码发生器

...........................\Accumulate.vhd

...........................\Carry_Dco.vhd

...........................\CA_Code_Generator.vhd

...........................\CA_Code_SignalOfCon.vhd

...........................\ClockGenerator.vhd

...........................\Code_Dco.vhd

...........................\Debug_Detect_Peakvalue.vhd

...........................\debug_detect_peakvalue_timesim.vhd

...........................\Digital_Correlator.vhd

...........................\Epcoch_Counters.vhd

...........................\EPL_Shift_Register.vhd

...........................\HardTest_Digital_Correlation.vhd

...........................\MixOfCarry.vhd

...........................\Slewof_Generate.vhd

...........................\slew_ofgenerator_tb.vhd

...........................\transcript

...........................\work

...........................\....\_info

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org