文件名称:Example-b8-1

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 3.74mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • k***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

使用ModelSim对Altera设计进行功能仿真

对于没有使用到Altera的MegaWizard或LPM的设计而言,功能仿真比较简单,读者只需依据8.2.5小节描述的步骤依次执行即可,对于使用了MegaWizard或LPM的设计,则必需在仿真时指定相关的Altera库-Altera use ModelSim for functional simulation for designs that do not use Altera
相关搜索: modelsim-altera
VHDL
lisence

(系统自动生成,下载前可以参看下载内容)

下载文件列表

Altera_lib_files

................\220model.txt

................\220model.v

................\220model.vhd

................\220model_87.vhd

................\220pack.vhd

................\altera_mf.txt

................\altera_mf.v

................\altera_mf.vhd

................\altera_mf_87.vhd

................\altera_mf_components.vhd

................\stratix_atoms.v

................\stratix_atoms.vhd

................\stratix_components.vhd

func_sim

........\dpram8x32.v

........\func_sim.cr.mti

........\func_sim.mpf

........\func_sim_wave.wlf

........\pllx2.v

........\pll_ram.v

........\pll_ram_tb.v

........\transcript

........\vsim.wlf



........\wave.do

........\work

........\....\dpram8x32

........\....\.........\verilog.asm

........\....\.........\_primary.dat

........\....\.........\_primary.vhd

........\....\pllx2

........\....\.....\verilog.asm

........\....\.....\_primary.dat

........\....\.....\_primary.vhd

........\....\pll_ram

........\....\.......\verilog.asm

........\....\.......\_primary.dat

........\....\.......\_primary.vhd

........\....\pll_ram_tb

........\....\..........\verilog.asm

........\....\..........\_primary.dat

........\....\..........\_primary.vhd

........\....\_info

pll_ram

.......\cmp_state.ini

.......\db

.......\..\altsyncram_7bc1.tdf

.......\..\pll_ram.asm.qmsg

.......\..\pll_ram.cmp.cdb

.......\..\pll_ram.cmp.ddb

.......\..\pll_ram.cmp.hdb

.......\..\pll_ram.cmp.rdb

.......\..\pll_ram.cmp.tdb

.......\..\pll_ram.csf.qmsg

.......\..\pll_ram.db_info

.......\..\pll_ram.eda.qmsg

.......\..\pll_ram.fit.qmsg

.......\..\pll_ram.hif

.......\..\pll_ram.icc

.......\..\pll_ram.map.cdb

.......\..\pll_ram.map.hdb

.......\..\pll_ram.map.qmsg

.......\..\pll_ram.pll_ram.sld_design_entry.sci

.......\..\pll_ram.pre_map.hdb

.......\..\pll_ram.project.hdb

.......\..\pll_ram.rtlv.hdb

.......\..\pll_ram.rtlv_sg.cdb

.......\..\pll_ram.rtlv_sg_swap.cdb

.......\..\pll_ram.sgdiff.cdb

.......\..\pll_ram.sgdiff.hdb

.......\..\pll_ram.signalprobe.cdb

.......\..\pll_ram.tan.qmsg

.......\..\pll_ram_cmp.qrpt

.......\..\pll_ram_hier_info

.......\..\pll_ram_syn_hier_info

.......\dpram8x32.v

.......\pllx2.v

.......\pll_ram.asm.rpt

.......\pll_ram.done

.......\pll_ram.eda.rpt

.......\pll_ram.fit.eqn

.......\pll_ram.fit.rpt

.......\pll_ram.flow.rpt

.......\pll_ram.map.eqn

.......\pll_ram.map.rpt

.......\pll_ram.pin

.......\pll_ram.pof

.......\pll_ram.qpf

.......\pll_ram.qsf

.......\pll_ram.qws

.......\pll_ram.sof

.......\pll_ram.tan.rpt

.......\pll_ram.tan.summary

.......\pll_ram.v

.......\simulation

.......\..........\modelsim

.......\..........\........\pll_ram.vo

.......\..........\........\pll_ram_modelsim.xrf

.......\..........\........\pll_ram_v.sdo

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org