文件名称:vhdl

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 9kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • h*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

vhdl代码串口的实现,每个部分的代码别写好了,元件例化一下即可用,-my english is poor ,i hope this make you understand and help you this is Serial implementation vhdl

Categories:hardware 
相关搜索: 串口
vhdl

(系统自动生成,下载前可以参看下载内容)

下载文件列表

vhdl\接受控制逻辑主模块.txt

....\控制逻辑模块.txt

....\移位寄存器.txt

....\计数器.txt

....\计算机设计与实践2009秋-第8讲.txt

....\译码器.txt

....\锁存器.txt

vhdl

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org