文件名称:Bank-excesise

  • 所属分类:
  • 中间件编程
  • 资源属性:
  • [Windows] [程序]
  • 上传时间:
  • 2016-11-11
  • 文件大小:
  • 397kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • M***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

Lab3 verilog nctu. Sequential-Circuit system, bank systerm
(系统自动生成,下载前可以参看下载内容)

下载文件列表





01_RTL\01_run

......\09_clean_up

......\BS.fsdb

......\BS.sv

......\BS_10H - Copy - Copy.sv

......\BS_10H - Copy.sv

......\BS_10H.sv

......\BS_iclab35.sv

......\Check_RAM.rc

......\desktop.ini

......\ID_bank.txt

......\.NCA_libs\desktop.ini

......\.........\irun.lnx86.13.10.nc\bind.lst.lnx86

......\.........\...................\cds.lib

......\.........\...................\cdsrun.lib

......\.........\...................\desktop.ini

......\.........\...................\files.ts

......\.........\...................\hdl.var

......\.........\...................\hdlrun.var

......\.........\...................\irun.args

......\.........\...................\ncelab.args

......\.........\...................\ncelab.env

......\.........\...................\ncelab.hrd

......\.........\...................\ncsim.args

......\.........\...................\ncsim.env

......\.........\...................\ncsim_restart.args

......\.........\...................\ncsim_restart.env

......\.........\...................\ncvlog.args

......\.........\...................\ncvlog.env

......\.........\...................\ncvlog.files

......\.........\...................\ncvlog.hrd

......\.........\...................\svpp.args

......\.........\...................\svpp.env

......\.........\...................\UVMHOME

......\.........\...................\xllibs\cds.lib

......\.........\...................\......\hdl.var

......\.........\...................\......\RA1SH\cdsinfo.tag

......\.........\...................\......\.....\inca.lnx86.021.pak

......\.........\worklib\cdsinfo.tag

......\.........\.......\desktop.ini

......\.........\.......\inca.lnx86.021.pak

......\INF.sv

......\irun.log

......\makefile

......\novas.conf

......\novas.rc

......\novas_dump.log

......\.WaveLog\fsdb.log

......\........\novas.rc

......\........\nWave.cmd

......\........\nWave.cmd.bak

......\........\pes.bat

......\........\turbo.log

......\PATTERN.sv

......\TA_files\BS.sv

......\........\PATTERN1.sv

......\........\PATTERN2.sv

......\TESTBED.sv

......\INCA_libs\irun.lnx86.13.10.nc\xllibs\RA1SH

......\.........\...................\temp

......\.........\...................\xllibs

......\.........\irun.lnx86.13.10.nc

......\.........\worklib

......\INCA_libs

......\nWaveLog

......\TA_files

01_RTL

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org