搜索资源列表

  1. SPI_verilogHDL

    0下载:
  2. 本原码是基于Verilog HDL语言编写的,实现了SPI接口设计,可以应用于FPGA,实现SPI协议的接口设计.在MAXII编译成功,用Modelsim SE 6仿真成功.-primitive code is based on Verilog HDL language, and achieving the SPI interface design, FPGA can be used to achieve agreement SPI i
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:jevidyang
  1. pwm_higt

    0下载:
  2. modelsim设计的可调占空比的方波程式-modelsim designed adjustable duty cycle of the square wave program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:yanfei
  1. hdb3_verilog

    0下载:
  2. modelsim工程,用verilog实现的HDB3编码,以及测试程序testbench-modelsim works with verilog realized HDB3 coding, and testing procedures testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:22528
    • 提供者:chengroc
  1. ModelSim_TestBench_VHDL

    0下载:
  2. ModelSim TestBench的VHDL模版-ModelSim VHDL template TestBench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:汤维
  1. ModelSim_SE_tigeress359617728

    0下载:
  2. modelsim十分钟入门——初学者很容易上手-modelsim 10 minutes portal-- beginners can easily drop
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:6144
    • 提供者:wei
  1. ModelSim_foundation

    0下载:
  2. 用实际例子介绍了仿真软件modelsim的基本使用方法,适用于初学者-with practical examples of simulation software modelsim use of the basic method applied to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:96256
    • 提供者:刘素珍
  1. adc8888

    0下载:
  2. 8位的a/d行为模型,可以应用于modelsim等环境下的仿真,不可综合。-eight of the a/d behavior model can be applied to other environments modelsim the simulation, not comprehensive.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:江浩
  1. sram__

    0下载:
  2. 静态随机读取存储器行为模型,可以应用于modelsim环境的仿真。-static random acts of reading memory model can be applied to the simulation environment modelsim.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:江浩
  1. oem_man

    0下载:
  2. Modelsim使用教程,英文版,从新建工程到完成仿真讲的非常详细,适合初学者。-Modelsim use guides, in English, from new construction to be completed simulation in a very detailed, for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2044928
    • 提供者:snake
  1. modelsim_guide_cn

    0下载:
  2. modelsim操作指导 很适合入门 有实例-modelsim operation guidance is very suitable example of a portal
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:342016
    • 提供者:大师
  1. fulleradder

    0下载:
  2. 本程序以Modelsim为开发平台,采用VHDL为开发语言,实现了简单的全加器.适合初学Modelsim的同行-Modelsim the procedures for the development of a platform for the development of VHDL language, achieving a simple full adder. Suitable for a novice counterparts Mo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:30720
    • 提供者:刘小军
  1. modelsim_userguide

    0下载:
  2. 仿真软件MODELSIM的用户使用手册,对MODELSIM用户有很大帮助。-MODELSIM simulation software users manuals, MODELSIM users to be of much help.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3899392
    • 提供者:liujie
  1. Freq_counter

    0下载:
  2. 本代码介绍了使用VHDL开发FPGA的一般流程,最终采用了一种基于FPGA的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成,可以在较高速时钟频率(100MHz)下正常工作。该设计的频率计能准确的测量频率在1Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan-II上取得良好测试效果。-the code on the FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:515072
    • 提供者:许的开
  1. Modelsim_houfangzhen

    0下载:
  2. this is a book of modelsim hou fang zhen,it is very good for study modelsim,-this is a book of modelsim hou fang zhen, it is very good for study modelsim.
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:429056
    • 提供者:王明
  1. RISCMCU

    0下载:
  2. riscMCU的VHDL实现,内附有modelsim仿真testbench和文档说明-riscMCU VHDL, modelsim containing a simulation testbench and documentation shows
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:594944
    • 提供者:wutailiang
  1. CPUNEW

    0下载:
  2. MODELSIM开发的模拟CPU,用VHDL语言描述,采用累加结构-ModelSim simulation developed CPU, using VHDL language descr iption of the structure of the use of cumulative
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:50176
    • 提供者:yyy
  1. vhdlYONGHUSHOUCE

    0下载:
  2. 非常优秀的国外VHDL设计教程,可进行MODELSIM模拟等操作-Excellent foreign VHDL design tutorial, it can conduct operations such as ModelSim Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2766848
    • 提供者:yyy
  1. Fir

    0下载:
  2. 11 阶FIR 数字滤波器,verolog描述,通过modelsim 6.0 仿真,Quartue综合-11-order FIR digital filter, verolog descr iption, modelsim 6.0 through simulation, Quartue integrated
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:shenyunfei
  1. 4VerilogFIFO

    0下载:
  2. 一种新的FIFO实现方法,verilog描述,通过modelsim 6.0 仿真,Quartue综合-FIFO realize a new method, verilog descr iption, modelsim 6.0 through simulation, Quartue integrated
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:shenyunfei
  1. 89_full_adder

    0下载:
  2. full adder设计代码,verilog 语言描述,通过modelsim 仿真,quartus综合-full adder design code, verilog language to describe, through the ModelSim simulation, quartus integrated
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:shenyunfei
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »

源码中国 www.ymcn.org