搜索资源列表

  1. dual-ram

    0下载:
  2. 实现双口RAM映射到DSP地址单元空间中,使得双口RAM直接读取DSP中的数据或程序。-Achieve dual-port RAM modules mapped to the DSP address space, making dual-port RAM directly read the data in the DSP or procedures.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:王正刚
  1. ram

    0下载:
  2. 基于altera ep2c8双口RAM -Altera ep2c8-based dual-port RAM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:884736
    • 提供者:秦学富
  1. ram

    0下载:
  2. LPC2378 读取RAM数据,好资料,值得一看-RAM data read LPC2378, good information, worth a visit
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:207872
    • 提供者:高志安
  1. ram

    0下载:
  2. ram的vhdl源代码在colloy实现-ram in the vhdl source code to achieve colloy
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1920000
    • 提供者:mamou
  1. RAM

    0下载:
  2. 这是个双端口双端口ram的定义,当然读者在此基础上还可以扩充-This is a dual-port dual-port ram definition, of course, on the basis of the readers can also be expanded
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:50176
    • 提供者:lee
  1. RAM

    0下载:
  2. 用VHDL编写一个字长16位,容量128B的RAM控制实现程序,并进行设计综合和功能模拟 。含源程序,及实验要求。适合初学者学习使用。-VHDL prepared with a 16-bit word length, 128B of the RAM capacity to achieve process control and design of analog integrated and functional. Containing
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:9216
    • 提供者:赵剑平
  1. RAM

    0下载:
  2. KEIL的μVision2版本,采用PHILIPS公司的P89V51单片机,32k的RAM:HM62256,段表不同类型的单片机以及接法不同需要重新修改,串口读取10个数据到RAM并数码管显示-KEIL the μVision2 version P89V51 the use of PHILIPS' s single-chip, 32k of RAM: HM62256, paragraph form, as well as diff
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:19456
    • 提供者:nonlz
  1. ram

    0下载:
  2. dsp2812 扩展RAM和实时时钟的读写、测试程序-dsp2812 extend RAM and RTC read /test
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:574464
    • 提供者:fanshengfang
  1. RAM

    0下载:
  2. 使用ISE的XST综合,综合结果使用了Block RAM,当然有时对于用到的容量很小的RAM,我们并不需要其使用Block RAM,那么只要稍微修改一下就可以综合成Distribute RAM-The use of ISE s XST synthesis, the combined result of the use of the Block RAM, it is our expectation. Of course, sometime
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:7168
    • 提供者:刘珊
  1. ram

    0下载:
  2. 用FPGA做的RAM,源码,调试通过,有工程-FPGA to do with RAM, source code, debugging through, there are works
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:452608
    • 提供者:马泽龙
  1. RAM

    0下载:
  2. Code for designing 16 bit RAM
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:9216
    • 提供者:Magic
  1. ram

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个RAM存储器。-The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware descr iption language to ach
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:198656
    • 提供者:Daisy
  1. RAM

    0下载:
  2. 微机基本实验,RAM扩展实验,将字写进去再读出来显示-Basic computer experiments, RAM expansion experiments, the characters written into the read out display
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:Darren
  1. RAM

    0下载:
  2. 双口RAM Verilog描述 双口RAM Verilog描述-Dual-port RAM Verilog descr iption of dual-port RAM Verilog descr iption of dual-port RAM Verilog descr iption of
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:15360
    • 提供者:关键
  1. RAM

    0下载:
  2. Ram with 8 bits implemented in vhdl verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:guilherme
  1. RAM-FPGA-FFT

    0下载:
  2. 内嵌RAM的种类,在FFT中的应用,以及仿真验证及应用-Types of embedded RAM, the FFT application
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:125952
    • 提供者:Dean
  1. RAM

    0下载:
  2. 单端口RAM,自己写的单端口RAM,同步写入同步读出,包括TESTBENCH和测试模拟文件-RAM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:wang
  1. RAM

    0下载:
  2. 调试运行程序RAM.ASM。对62256进行读写。若L1灯闪动,表示62256RAM读写正常。一直亮说明扩展数据存储器有损坏-Commissioning program RAM.ASM. Read and write to the 62256. If L1 lights flashing, that 62256RAM read normal. Light that has been extended data memory is dam
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:7168
    • 提供者:qun
  1. 5-ge-ram-core

    0下载:
  2. 5个ram核,arm6_verilog,arm7_verilog_1,arm7_VHDL,Core_arm_VHDL,nnARM01_11_1_3 arm6_verilog.rar 一个最简单的arm内核,verilog写的,有点乱 arm7_verilog_1.rar J. Shin用verilog写的arm7核心,结构良好,简明易懂 nnARM01_11_1_3.zip.zip nnARM开源项目,国防科技大学牛人She
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1152000
    • 提供者:YeZiqiang
  1. 256.16-RAM

    0下载:
  2. VHDL语言编写,实现256×16RAM块功能,稍加修改即可改变RAM块的容量-VHDL language, achieving 256 ×16RAM block .A little change can change the capacity of the block RAM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:266240
    • 提供者:王建伟
« 1 23 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org