搜索资源列表

  1. ramchoice

    0下载:
  2. 多总线切换的VHDL代码。可用于多RAM的管理。-Multibus VHDL code switching. RAM can be used for multi-management.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:祝箭
  1. viterbi

    0下载:
  2. 介绍了viterbi译码器的编解码器的设计,包括decoder.v,encoder.v.control.v,ram.v等,压缩 包里面有pdf说明-Introduced a viterbi decoder codec design, including decoder.v, encoder.v.control.v, ram.v and so on, there are pdf compression package descr ipti
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:62464
    • 提供者:yaoyongshi
  1. fifo

    0下载:
  2. FIFO电路(first in,first out),内部藏有16bit×16word的Dual port RAM,依次读出已经写入的数据。因为不存在Address输入,所以请自行设计内藏的读写指针。由FIFO电路输出的EF信号(表示RAM内部的数据为空)和FF信号(表示RAM内部的数据为满)来表示RAM内部的状态,并且控制FIFO的输入信号WEN(写使能)和REN(读使能)。以及为了更好得控制FIFO电路,AEF(表示RAM内部的数据
  3. 所属分类:压缩解压

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:史先生
  1. Asynchronous_read_write_RAM

    0下载:
  2. Dual Port RAM Asynchronous Read/Write 经过modelsim仿真 -Dual Port RAM Asynchronous Read/Write through ModelSim Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:lianlianmao
  1. Synchronous_read_write_RAM

    0下载:
  2. Synchronous read write RAM verilog。经过modelsim se仿真。-Synchronous read write RAM verilog. Through simulation modelsim se.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:lianlianmao
  1. DDram

    0下载:
  2. 07全国大学生电子设计竞赛C题获奖作品FPGA外围接口双口RAM部分源码-07 National Undergraduate Electronic Design Contest winning entries C title peripheral interface FPGA dual-port RAM part of source
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:SRY
  1. RAMbroden

    0下载:
  2. 基于proteus的51单片机的RAM扩展仿真-Based on the Proteus 51 MCU RAM expansion simulation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:23552
    • 提供者:lianzi
  1. ex1_RAMTest

    0下载:
  2. DSP2812的外部RAM实验测试程序,很好用的!-DSP2812 external RAM experimental test procedure, good use!
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:24576
    • 提供者:李静
  1. acordwithram

    0下载:
  2. 一个牛人写的很快且不用状态机的动态RAM接口,VHDL编写-A cow were to write quickly and do not have the state machine dynamic RAM interface, VHDL prepared
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:6144
    • 提供者:john
  1. dul_ram(yk)

    0下载:
  2. 关于双口RAM的Verilog HDL源码-On the dual-port RAM in Verilog HDL source
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:123
  1. DMA

    0下载:
  2. This example provides a descr iption of how to use a DMA channel to transfer a word data buffer from memory (Flash) to memory (RAM). The dedicated DMA channel is configured to transfer once a time a 32 word data buf
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:507904
    • 提供者:kz02bcxg
  1. PIC-read-and-write-SPC3-ram

    0下载:
  2. PIC芯片读写SPC3寄存器的程序,PIC芯片不支持外部存储器扩展功能,通过软件编程,实现读写外部存储器功能。-PIC chip to read and write registers SPC3 procedures, PIC chip does not support external memory expansion capabilities, through software programming, to achieve rea
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:陶学君
  1. 51RAM

    0下载:
  2. 单片机扩展片外RAM,用到的芯片有373,62256这里包涵了测试与串口调试等程序,可以用于扩展调试与串口通讯调试等-Single-chip expansion of chip RAM, the chips used here have 373,62256 includes the testing and debugging, such as serial procedures, can be used to expand the de
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:17408
    • 提供者:吕坤
  1. dev

    0下载:
  2. linux下 双口ram驱动程序 2.4.18-linux under the dual-port ram driver 2.4.18
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:孙磊
  1. Wave_ROM

    0下载:
  2. 基于RAm的FPGA实现DDS,有测试文件-Ram realize the FPGA-based DDS, have the test paper
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:5120
    • 提供者:xsj
  1. fifov1

    0下载:
  2. FIFO(先进先出队列)通常用于数据的缓存和用于容纳异步信号的频率或相位的差异。本FIFO的实现是利用 双口RAM 和读写地址产生模块来实现的.FIFO的接口信号包括异步的写时钟(wr_clk)和读时钟(rd_clk)、 与写时钟同步的写有效(wren)和写数据(wr_data) 、与读时钟同步的读有效(rden)和读数据(rd_data) 为了实现正确的读写和避免FIFO的上溢或下溢,给出与读时钟和写时钟分别同步的FIFO
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:378880
    • 提供者:lsg
  1. f320f28335_FLASH_TO_RAM

    0下载:
  2. Copying Compiler Sections from Flash to RAM on the TMS320F28xxx DSCs 包括固件和说明-Copying Compiler Sections from Flash to RAM on the TMS320F28xxx DSCs include the firmware and instructions
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:544768
    • 提供者:caocao
  1. RAM

    0下载:
  2. 所有的ram资料,化了很长时间找的 大家多多指教 -Ram all information of a very long time to find the exhibitions of the U.S.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:247808
    • 提供者:xgh
  1. RAM

    0下载:
  2. 基于单片机AT89S52系统的一些测试程序,用C语言编写的,自己已经做实验验证过了-Based on MCU AT89S52 system testing procedures, using C language, they have to do the experiment verified the
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:13312
    • 提供者:刘玉领
  1. ram_256

    0下载:
  2. 在Quartus中实现256的RAM,经过实际的应用验证,没有问题的-Quartus achieved in 256 of the RAM, through the practical application of verification, no problem
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:145408
    • 提供者:郭翠双
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »

源码中国 www.ymcn.org