资源列表

排序选择:

« 1 2 ... .05 .06 .07 .08 .09 91010.11 .12 .13 .14 .15 ... 267490 »

[企业管理(财务/ERP/EIP等)MaterialManagementSystem

说明:基于数据库ACCESS的一般企业物流管理方面的物资管理系统.-General business of logistics management, material management system
<常运合> 在 2024-10-23 上传 | 大小:4802560 | 下载:0

[matlab例程GoldbachConjecture

说明:哥德巴赫猜想,数字系统设计答辩资料,获得了一等奖哦!-Goldbach Conjecture, digital system design reply information received first prize Oh!
<ayls> 在 2024-10-23 上传 | 大小:745472 | 下载:0

[Windows编程abc

说明:编译原理赋值语句的翻译程序,是编译的某一次实验-Compiler Construction Principles of the translation assignment procedure is an experiment of a compiler
<吴太民> 在 2024-10-23 上传 | 大小:1239040 | 下载:0

[图形图像处理(光照,映射..)PointInPolygon

说明:判断点在凸多边形的内外的计算机图形学小程序;算法很不错;-In the convex polygon to determine the internal and external procedures of small computer graphics algorithm is pretty good
<天问> 在 2024-10-23 上传 | 大小:1024 | 下载:0

[界面编程good_examople_of_Qt

说明:QT的超级好例子,对于QT的初学者特别有用-QT super good example of the QT is particularly useful for beginners
<ACE> 在 2024-10-23 上传 | 大小:5338112 | 下载:0

[JSP源码/JavaChat1.3

说明:马士兵Java教程之聊天系统,简单实用,适合初学者-Java Tutorial马士兵the chat system, simple and practical, suitable for beginners
<ANNA> 在 2024-10-23 上传 | 大小:9216 | 下载:0

[VHDL编程vhdl-arm-core

说明:用vhdl语言实现arm内核,压缩包中有19个代码共同组成这个arm内核,程序比较大,应用时要注意那个代码是顶层实体。用quartus2软件即可打开仿真。-Vhdl language used arm core, compressed package code of 19 common core component of this arm, procedures, and application code should be noted
<杨帆> 在 2024-10-23 上传 | 大小:41984 | 下载:0

[其他小程序CRS

说明:基于Cauchy矩阵的RS编码。m=2,n=2-cauchy based reed solomon codes. m=2 , n=2
<Tang Siyan> 在 2024-10-23 上传 | 大小:2048 | 下载:0

[人工智能/神经网络/遗传算法BPXOR

说明:BP神经网络解决的异或问题,解决方法挺不错的-BP neural network to solve the XOR problem, very good solution
<唐小天> 在 2024-10-23 上传 | 大小:88064 | 下载:0

[Windows编程NeonCube-1.2-SRC-community

说明:Neon Cube 1.2 RO PACH
<natz> 在 2024-10-23 上传 | 大小:1187840 | 下载:0

[中文信息处理Jam_n_TermometerwithATMEGA16_DS1820andDS1307

说明:this is a source code digital clock and thermometer with AVR ATMEGA 16-this is a source code digital clock and thermometer with AVR ATMEGA 16
<Riky> 在 2024-10-23 上传 | 大小:110592 | 下载:0

[Windows编程690276SMDK6400_Schematics_Rev01

说明:samsung SMDK6400_Schematics_Rev01.zip Schematic File ( CPU & Base Board)-samsung SMDK6400_Schematics_Rev01.zip Schematic File ( CPU & Base Board)
<In-Shig, Park> 在 2024-10-23 上传 | 大小:583680 | 下载:0
« 1 2 ... .05 .06 .07 .08 .09 91010.11 .12 .13 .14 .15 ... 267490 »

源码中国 www.ymcn.org