资源列表

« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 33939 »

[单片机(51,AVR,MSP430等)Mini_Project

说明:56265135
<ewthwan> 在 2010-11-25 上传 | 大小:75439793 | 下载:0

[单片机(51,AVR,MSP430等)msp430_interruptatimeaclock

说明:MSP430单片机,时钟和定时器以及中断实验,附详细代码、实验要求及实验报告。需要安装MSP430开发环境IWE。-MSP430 microcontroller, clock and timer and interrupt the experiment, with detailed code, test requirements and test reports. We need to install the development e
<OYchenguang> 在 2024-04-28 上传 | 大小:75347968 | 下载:0

[嵌入式Linuxarm_linux_4.8-20150831.tar

说明:很好用的编译器,经测试完美运行 ,时你编程的良好助手工具 -Useful compiler, the perfect test run, when your good assistant of programming tools Ha, ha, ha
<liangrufen> 在 2024-04-28 上传 | 大小:77031424 | 下载:0

[嵌入式/单片机编程UCOSII学习资料

说明:uC/OS-II学习资料,是学习STM32时的必用资料(UC/OS-II learning materials, learning STM32 is the necessary data)
<liu123456789 > 在 2024-04-28 上传 | 大小:74788864 | 下载:0

[VHDL编程SDRAM缓冲测试程序

说明:对FPGA的SDRAM进行测试,主要是实现FIFO-SDRAM-FIFO的数据传输(Test the SDRAM of the FPGA)
<降落 > 在 2024-04-28 上传 | 大小:76057600 | 下载:0

[嵌入式/单片机编程stm32 dhcp

说明:STM32单片机 TCPserver服务器 DCHP 自动获取IP地址(STM32 MCU TCPserver server DCHP automatically obtain IP address)
<wjbwbp521 > 在 2024-04-28 上传 | 大小:76700672 | 下载:0

[其他嵌入式/单片机内容0815zxhkgfsz

说明:ccd的驱动时序以及各方能的东西,希望有点用(The driving sequence of CCD and the things that all sides can be able to use is a bit of use)
<肩上蝶 > 在 2024-04-28 上传 | 大小:76863488 | 下载:0

[微处理器(ARM/PowerPC等)STM32CubeL0.1.11.0

说明:STM32 驱动库,包含所有模块的驱动程序和实例(STM32 driver library)
<soarsf > 在 2024-04-28 上传 | 大小:76769280 | 下载:0

[单片机(51,AVR,MSP430等)snake

说明:有源代码,仿真及仿真软件,还有keil工程(Active code, simulation and simulation software, and keil Engineering)
<灰飞湮灭> 在 2024-04-28 上传 | 大小:75336704 | 下载:0

[VHDL编程fpga4

说明:关于FPGA的相关介绍与一些例程代码实现4(About FPGA related introduction and some routine code implementation)
<Snowy22> 在 2024-04-28 上传 | 大小:74785792 | 下载:0

[QNXQnx Sources 29 02 2009.rar

说明:Qnx6 Sources 29 02 2009
<aloxford35@googlemail.com> 在 2021-04-21 上传 | 大小:75615085 | 下载:1

[嵌入式LinuxWemaos D1 mini

说明:ESP8266 RTOS SDK project with websocket server application
<Zelan89> 在 2022-08-21 上传 | 大小:76801101 | 下载:0
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 33939 »

源码中国 www.ymcn.org