文件名称:ddstest

  • 所属分类:
  • 通讯编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 1.06mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 罗*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

实现dds的testbench,很有帮助
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 119128656ddstest.rar 列表
ddstest\ddstest.qpf
ddstest\ddstest.qsf
ddstest\db\mux_6eb.tdf
ddstest\db\ddstest.db_info
ddstest\db\ddstest.map.qmsg
ddstest\db\ddstest.fit.qmsg
ddstest\db\ddstest.rtlv_sg_swap.cdb
ddstest\db\ddstest.sim.qmsg
ddstest\db\mux_lcb.tdf
ddstest\db\mux_fcb.tdf
ddstest\db\ddstest.cmp.qrpt
ddstest\db\ddstest.cbx.xml
ddstest\db\ddstest.hif
ddstest\db\ddstest.cmp.cdb
ddstest\db\ddstest.sgdiff.cdb
ddstest\db\ddstest.(0).cnf.cdb
ddstest\db\ddstest.(0).cnf.hdb
ddstest\db\ddstest.sgdiff.hdb
ddstest\db\ddstest.sim.hdb
ddstest\db\ddstest.sim.vwf
ddstest\db\ddstest.(1).cnf.cdb
ddstest\db\ddstest.(1).cnf.hdb
ddstest\db\ddstest.(2).cnf.cdb
ddstest\db\altsyncram_aas.tdf
ddstest\db\ddstest.(2).cnf.hdb
ddstest\db\add_sub_4fg.tdf
ddstest\db\ddstest.(3).cnf.cdb
ddstest\db\ddstest.(3).cnf.hdb
ddstest\db\ddstest.(4).cnf.cdb
ddstest\db\ddstest.(4).cnf.hdb
ddstest\db\ddstest.(5).cnf.cdb
ddstest\db\ddstest.(5).cnf.hdb
ddstest\db\ddstest.(6).cnf.cdb
ddstest\db\ddstest.(6).cnf.hdb
ddstest\db\ddstest.(7).cnf.cdb
ddstest\db\ddstest.(7).cnf.hdb
ddstest\db\ddstest.(8).cnf.cdb
ddstest\db\ddstest.(8).cnf.hdb
ddstest\db\ddstest.(9).cnf.cdb
ddstest\db\ddstest.(9).cnf.hdb
ddstest\db\ddstest.(10).cnf.cdb
ddstest\db\ddstest.(10).cnf.hdb
ddstest\db\ddstest.(11).cnf.cdb
ddstest\db\ddstest.(11).cnf.hdb
ddstest\db\ddstest.(12).cnf.cdb
ddstest\db\ddstest.(12).cnf.hdb
ddstest\db\ddstest.(13).cnf.cdb
ddstest\db\ddstest.(13).cnf.hdb
ddstest\db\ddstest.(14).cnf.cdb
ddstest\db\ddstest.(14).cnf.hdb
ddstest\db\ddstest.(15).cnf.cdb
ddstest\db\ddstest.(15).cnf.hdb
ddstest\db\ddstest.(16).cnf.cdb
ddstest\db\altsyncram_9as.tdf
ddstest\db\ddstest.(16).cnf.hdb
ddstest\db\ddstest.(17).cnf.cdb
ddstest\db\ddstest.(17).cnf.hdb
ddstest\db\ddstest.hier_info
ddstest\db\ddstest.asm.qmsg
ddstest\db\ddstest.(18).cnf.cdb
ddstest\db\ddstest.tan.qmsg
ddstest\db\ddstest.rtlv_sg.cdb
ddstest\db\ddstest.sim.rdb
ddstest\db\altsyncram_kbs.tdf
ddstest\db\ddstest.psp
ddstest\db\ddstest.dbp
ddstest\db\altsyncram_c8s.tdf
ddstest\db\ddstest.(18).cnf.hdb
ddstest\db\ddstest.(19).cnf.cdb
ddstest\db\ddstest.syn_hier_info
ddstest\db\ddstest.(19).cnf.hdb
ddstest\db\ddstest.rtlv.hdb
ddstest\db\ddstest.pre_map.hdb
ddstest\db\ddstest.pre_map.cdb
ddstest\db\ddstest.eda.qmsg
ddstest\db\ddstest.map.cdb
ddstest\db\ddstest.map.hdb
ddstest\db\ddstest.sld_design_entry.sci
ddstest\db\ddstest.sld_design_entry_dsc.sci
ddstest\db\ddstest.eco.cdb
ddstest\db\add_sub_7fg.tdf
ddstest\db\ddstest.signalprobe.cdb
ddstest\db\ddstest.cmp.tdb
ddstest\db\ddstest.cmp.rdb
ddstest\db\ddstest.cmp.hdb
ddstest\db\ddstest.cmp0.ddb
ddstest\db\ddstest.eds_overflow
ddstest\db\ddstest.fnsim.qmsg
ddstest\db\ddstest.sim.qrpt
ddstest\db\ddstest.rpp.qmsg
ddstest\db\ddstest.sgate.rvd
ddstest\db\add_sub_6fg.tdf
ddstest\db\ddstest.sgate_sm.rvd
ddstest\db\ddstest.fnsim.hdb
ddstest\db
ddstest\co.vhd
ddstest\co.inc
ddstest\co.bsf
ddstest\add_waveforms.html
ddstest\add_wave0.jpg
ddstest\add.vhd
ddstest\add.inc
ddstest\add.bsf
ddstest\ddstest.bdf
ddstest\ddstest.map.rpt
ddstest\ddstest.flow.rpt
ddstest\ddstest.map.summary
ddstest\ddstest.map.eqn
ddstest\ddstest.done
ddstest\ddstest.fit.eqn
ddstest\ddstest.pin
ddstest\ddstest.fit.rpt
ddstest\ddstest.fit.summary
ddstest\ddstest.sof
ddstest\ddstest.pof
ddstest\ddstest.asm.rpt
ddstest\ddstest.tan.summary
ddstest\ddstest.tan.rpt
ddstest\ddstest.sim.rpt
ddstest\ddstest.qws
ddstest\sinwave.mif
ddstest\ff.vhd
ddstest\ff.inc
ddstest\ff.bsf
ddstest\ddstest.mif
ddstest\simulation\modelsim\ddstest_modelsim.xrf
ddstest\simulation\modelsim\ddstest.vho
ddstest\simulation\modelsim\ddstest_vhd.sdo
ddstest\simulation\modelsim\ddstest_run_msim_gate_vhdl.do
ddstest\simulation\modelsim\msim_transcript
ddstest\simulation\modelsim\vhdl_libs\lpm\_info
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_components\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_components\_vhdl.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_components
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_common_conversion\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_common_conversion\_vhdl.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_common_conversion\body.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_common_conversion\body.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_common_conversion
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_hint_evaluation\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_hint_evaluation\_vhdl.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_hint_evaluation\body.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_hint_evaluation\body.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_hint_evaluation
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_device_families\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_device_families\_vhdl.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_device_families\body.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_device_families\body.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_device_families
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_constant\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_constant\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_constant\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_constant
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inv\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inv\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inv\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inv
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_and\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_and\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_and\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_and
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_or\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_or\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_or\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_or
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_xor\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_xor\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_xor\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_xor
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bustri\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bustri\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bustri\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bustri
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mux\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mux\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mux\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mux
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_decode\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_decode\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_decode\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_decode
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_clshift\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_clshift\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_clshift\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_clshift
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_signed\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_signed\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_signed\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_signed
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_unsigned\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_unsigned\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_unsigned\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub_unsigned
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_add_sub
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_signed\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_signed\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_signed\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_signed
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_unsigned\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_unsigned\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_unsigned\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare_unsigned
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_compare
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mult\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mult\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mult\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_mult
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_divide\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_divide\behave.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_divide\behave.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_divide
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_abs\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_abs\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_abs\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_abs
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_counter\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_counter\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_counter\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_counter
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_latch\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_latch\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_latch\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_latch
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ff\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ff\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ff\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ff
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_shiftreg\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_shiftreg\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_shiftreg\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_shiftreg
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dq\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dq\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dq\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dq
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dp\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dp\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dp\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_dp
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_io\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_io\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_io\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_ram_io
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_rom\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_rom\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_rom\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_rom
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo\behavior.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo\behavior.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_dffpipe\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_dffpipe\behavior.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_dffpipe\behavior.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_dffpipe
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_fefifo\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_fefifo\behavior.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_fefifo\behavior.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_fefifo
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_async\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_async\behavior.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_async\behavior.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc_async
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc\behavior.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc\behavior.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_fifo_dc
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inpad\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inpad\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inpad\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_inpad
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_outpad\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_outpad\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_outpad\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_outpad
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bipad\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bipad\lpm_syn.dat
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bipad\lpm_syn.asm
ddstest\simulation\modelsim\vhdl_libs\lpm\lpm_bipad
ddstest\simulation\modelsim\vhdl_libs\lpm
ddstest\simulation\modelsim\vhdl_libs\cyclone\_info
ddstest\simulation\modelsim\vhdl_libs\cyclone\atom_pack\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\atom_pack\_vhdl.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\atom_pack\body.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\atom_pack\body.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\atom_pack
ddstest\simulation\modelsim\vhdl_libs\cyclone\pllpack\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\pllpack\_vhdl.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\pllpack\body.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\pllpack\body.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\pllpack
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dffe\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dffe\behave.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dffe\behave.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dffe
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux21\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux21\altvital.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux21\altvital.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux21
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux41\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux41\altvital.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux41\altvital.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_mux41
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_and1\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_and1\altvital.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_and1\altvital.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_and1
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_lcell\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_lcell\vital_le.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_lcell\vital_le.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_lcell
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell_register\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell_register\vital_le_reg.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell_register\vital_le_reg.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell_register
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell\vital_le_atom.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell\vital_le_atom.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_lcell
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_register\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_register\reg_arch.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_register\reg_arch.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_register
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_pulse_generator\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_pulse_generator\pgen_arch.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_pulse_generator\pgen_arch.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_pulse_generator
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_block\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_block\block_arch.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_block\block_arch.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_ram_block
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_m_cntr\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_m_cntr\behave.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_m_cntr\behave.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_m_cntr
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_n_cntr\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_n_cntr\behave.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_n_cntr\behave.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_n_cntr
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_scale_cntr\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_scale_cntr\behave.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_scale_cntr\behave.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_scale_cntr
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll_reg\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll_reg\behave.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll_reg\behave.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll_reg
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll\vital_pll.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll\vital_pll.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_pll
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dll\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dll\vital_dll.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dll\vital_dll.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_dll
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_jtag\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_jtag\architecture_jtag.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_jtag\architecture_jtag.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_jtag
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_crcblock\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_crcblock\architecture_crcblock.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_crcblock\architecture_crcblock.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_crcblock
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_routing_wire\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_routing_wire\behave.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_routing_wire\behave.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_routing_wire
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_io\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_io\behave.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_io\behave.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asynch_io
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_io\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_io\structure.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_io\structure.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_io
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asmiblock\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asmiblock\architecture_asmiblock.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asmiblock\architecture_asmiblock.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_asmiblock
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_components\_primary.dat
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_components\_vhdl.asm
ddstest\simulation\modelsim\vhdl_libs\cyclone\cyclone_components
ddstest\simulation\modelsim\vhdl_libs\cyclone
ddstest\simulation\modelsim\vhdl_libs
ddstest\simulation\modelsim\gate_work\_info
ddstest\simulation\modelsim\gate_work\ddstest\_primary.dat
ddstest\simulation\modelsim\gate_work\ddstest\structure.dat
ddstest\simulation\modelsim\gate_work\ddstest\structure.asm
ddstest\simulation\modelsim\gate_work\ddstest
ddstest\simulation\modelsim\gate_work
ddstest\simulation\modelsim\vish_stacktrace.vstf
ddstest\simulation\modelsim\modelsim.ini
ddstest\simulation\modelsim\wave.do
ddstest\simulation\modelsim\vsim.wlf
ddstest\simulation\modelsim\export.tcl
ddstest\simulation\modelsim
ddstest\simulation
ddstest\ddstest.eda.rpt
ddstest\quartus_nativelink_simulation.log
ddstest\undo_redo.txt
ddstest\ddstest.dpf
ddstest\ddstest.fld
ddstest\serv_req_info.txt
ddstest\sinwave1.mif
ddstest\ddstest.vwf
ddstest

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org