文件名称:multifunction_digital_clock_based_on_fpga

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 3.14mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • renh*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于FPGA的多功能数字钟的设计与实现 内附有详尽的Verilog HDL源码,其功能主要有:时间设置,时间显示,跑表,分频,日期设置,日期显示等
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 39709551multifunction_digital_clock_based_on_fpga.rar 列表
第6章\alarmclock\alarmclock.asm.rpt
第6章\alarmclock\alarmclock.bsf
第6章\alarmclock\alarmclock.done
第6章\alarmclock\alarmclock.fit.eqn
第6章\alarmclock\alarmclock.fit.rpt
第6章\alarmclock\alarmclock.fit.summary
第6章\alarmclock\alarmclock.flow.rpt
第6章\alarmclock\alarmclock.map.eqn
第6章\alarmclock\alarmclock.map.rpt
第6章\alarmclock\alarmclock.map.summary
第6章\alarmclock\alarmclock.pin
第6章\alarmclock\alarmclock.pof
第6章\alarmclock\alarmclock.qpf
第6章\alarmclock\alarmclock.qsf
第6章\alarmclock\alarmclock.qws
第6章\alarmclock\alarmclock.sim.rpt
第6章\alarmclock\alarmclock.tan.rpt
第6章\alarmclock\alarmclock.tan.summary
第6章\alarmclock\alarmclock.v
第6章\alarmclock\alarmclock.vwf
第6章\alarmclock\cmp_state.ini
第6章\alarmclock\db\alarmclock.(0).cnf.cdb
第6章\alarmclock\db\alarmclock.(0).cnf.hdb
第6章\alarmclock\db\alarmclock.(1).cnf.cdb
第6章\alarmclock\db\alarmclock.(1).cnf.hdb
第6章\alarmclock\db\alarmclock.asm.qmsg
第6章\alarmclock\db\alarmclock.cmp.cdb
第6章\alarmclock\db\alarmclock.cmp.ddb
第6章\alarmclock\db\alarmclock.cmp.hdb
第6章\alarmclock\db\alarmclock.cmp.rdb
第6章\alarmclock\db\alarmclock.cmp.tdb
第6章\alarmclock\db\alarmclock.cmp0.ddb
第6章\alarmclock\db\alarmclock.db_info
第6章\alarmclock\db\alarmclock.eco.cdb
第6章\alarmclock\db\alarmclock.eds_overflow
第6章\alarmclock\db\alarmclock.fit.qmsg
第6章\alarmclock\db\alarmclock.hier_info
第6章\alarmclock\db\alarmclock.hif
第6章\alarmclock\db\alarmclock.map.cdb
第6章\alarmclock\db\alarmclock.map.hdb
第6章\alarmclock\db\alarmclock.map.qmsg
第6章\alarmclock\db\alarmclock.pre_map.cdb
第6章\alarmclock\db\alarmclock.pre_map.hdb
第6章\alarmclock\db\alarmclock.psp
第6章\alarmclock\db\alarmclock.rtlv.hdb
第6章\alarmclock\db\alarmclock.rtlv_sg.cdb
第6章\alarmclock\db\alarmclock.rtlv_sg_swap.cdb
第6章\alarmclock\db\alarmclock.sgdiff.cdb
第6章\alarmclock\db\alarmclock.sgdiff.hdb
第6章\alarmclock\db\alarmclock.sim.hdb
第6章\alarmclock\db\alarmclock.sim.qmsg
第6章\alarmclock\db\alarmclock.sim.rdb
第6章\alarmclock\db\alarmclock.sim.vwf
第6章\alarmclock\db\alarmclock.sld_design_entry.sci
第6章\alarmclock\db\alarmclock.sld_design_entry_dsc.sci
第6章\alarmclock\db\alarmclock.syn_hier_info
第6章\alarmclock\db\alarmclock.tan.qmsg
第6章\alarmclock\db\alarmclock_cmp.qrpt
第6章\alarmclock\db\alarmclock_sim.qrpt
第6章\date\autodate\autodate.asm.rpt
第6章\date\autodate\autodate.bsf
第6章\date\autodate\autodate.done
第6章\date\autodate\autodate.fit.eqn
第6章\date\autodate\autodate.fit.rpt
第6章\date\autodate\autodate.fit.summary
第6章\date\autodate\autodate.flow.rpt
第6章\date\autodate\autodate.map.eqn
第6章\date\autodate\autodate.map.rpt
第6章\date\autodate\autodate.map.summary
第6章\date\autodate\autodate.pin
第6章\date\autodate\autodate.pof
第6章\date\autodate\autodate.qpf
第6章\date\autodate\autodate.qsf
第6章\date\autodate\autodate.qws
第6章\date\autodate\autodate.sim.rpt
第6章\date\autodate\autodate.tan.rpt
第6章\date\autodate\autodate.tan.summary
第6章\date\autodate\autodate.v
第6章\date\autodate\autodate.vwf
第6章\date\autodate\cmp_state.ini
第6章\date\autodate\db\autodate.(0).cnf.cdb
第6章\date\autodate\db\autodate.(0).cnf.hdb
第6章\date\autodate\db\autodate.(1).cnf.cdb
第6章\date\autodate\db\autodate.(1).cnf.hdb
第6章\date\autodate\db\autodate.asm.qmsg
第6章\date\autodate\db\autodate.cmp.cdb
第6章\date\autodate\db\autodate.cmp.ddb
第6章\date\autodate\db\autodate.cmp.hdb
第6章\date\autodate\db\autodate.cmp.rdb
第6章\date\autodate\db\autodate.cmp.tdb
第6章\date\autodate\db\autodate.cmp0.ddb
第6章\date\autodate\db\autodate.db_info
第6章\date\autodate\db\autodate.eco.cdb
第6章\date\autodate\db\autodate.eds_overflow
第6章\date\autodate\db\autodate.fit.qmsg
第6章\date\autodate\db\autodate.hier_info
第6章\date\autodate\db\autodate.hif
第6章\date\autodate\db\autodate.map.cdb
第6章\date\autodate\db\autodate.map.hdb
第6章\date\autodate\db\autodate.map.qmsg
第6章\date\autodate\db\autodate.pre_map.cdb
第6章\date\autodate\db\autodate.pre_map.hdb
第6章\date\autodate\db\autodate.psp
第6章\date\autodate\db\autodate.rtlv.hdb
第6章\date\autodate\db\autodate.rtlv_sg.cdb
第6章\date\autodate\db\autodate.rtlv_sg_swap.cdb
第6章\date\autodate\db\autodate.sgdiff.cdb
第6章\date\autodate\db\autodate.sgdiff.hdb
第6章\date\autodate\db\autodate.sim.hdb
第6章\date\autodate\db\autodate.sim.qmsg
第6章\date\autodate\db\autodate.sim.rdb
第6章\date\autodate\db\autodate.sim.vwf
第6章\date\autodate\db\autodate.sld_design_entry.sci
第6章\date\autodate\db\autodate.sld_design_entry_dsc.sci
第6章\date\autodate\db\autodate.syn_hier_info
第6章\date\autodate\db\autodate.tan.qmsg
第6章\date\autodate\db\autodate_cmp.qrpt
第6章\date\autodate\db\autodate_sim.qrpt
第6章\date\datecontrol\cmp_state.ini
第6章\date\datecontrol\datecontrol.asm.rpt
第6章\date\datecontrol\datecontrol.bsf
第6章\date\datecontrol\datecontrol.done
第6章\date\datecontrol\datecontrol.fit.eqn
第6章\date\datecontrol\datecontrol.fit.rpt
第6章\date\datecontrol\datecontrol.fit.summary
第6章\date\datecontrol\datecontrol.flow.rpt
第6章\date\datecontrol\datecontrol.map.eqn
第6章\date\datecontrol\datecontrol.map.rpt
第6章\date\datecontrol\datecontrol.map.summary
第6章\date\datecontrol\datecontrol.pin
第6章\date\datecontrol\datecontrol.pof
第6章\date\datecontrol\datecontrol.qpf
第6章\date\datecontrol\datecontrol.qsf
第6章\date\datecontrol\datecontrol.qws
第6章\date\datecontrol\datecontrol.sim.rpt
第6章\date\datecontrol\datecontrol.tan.rpt
第6章\date\datecontrol\datecontrol.tan.summary
第6章\date\datecontrol\datecontrol.v
第6章\date\datecontrol\datecontrol.vwf
第6章\date\datecontrol\db\datecontrol.(0).cnf.cdb
第6章\date\datecontrol\db\datecontrol.(0).cnf.hdb
第6章\date\datecontrol\db\datecontrol.asm.qmsg
第6章\date\datecontrol\db\datecontrol.cmp.cdb
第6章\date\datecontrol\db\datecontrol.cmp.ddb
第6章\date\datecontrol\db\datecontrol.cmp.hdb
第6章\date\datecontrol\db\datecontrol.cmp.rdb
第6章\date\datecontrol\db\datecontrol.cmp.tdb
第6章\date\datecontrol\db\datecontrol.cmp0.ddb
第6章\date\datecontrol\db\datecontrol.db_info
第6章\date\datecontrol\db\datecontrol.eco.cdb
第6章\date\datecontrol\db\datecontrol.eds_overflow
第6章\date\datecontrol\db\datecontrol.fit.qmsg
第6章\date\datecontrol\db\datecontrol.hier_info
第6章\date\datecontrol\db\datecontrol.hif
第6章\date\datecontrol\db\datecontrol.map.cdb
第6章\date\datecontrol\db\datecontrol.map.hdb
第6章\date\datecontrol\db\datecontrol.map.qmsg
第6章\date\datecontrol\db\datecontrol.pre_map.cdb
第6章\date\datecontrol\db\datecontrol.pre_map.hdb
第6章\date\datecontrol\db\datecontrol.psp
第6章\date\datecontrol\db\datecontrol.rtlv.hdb
第6章\date\datecontrol\db\datecontrol.rtlv_sg.cdb
第6章\date\datecontrol\db\datecontrol.rtlv_sg_swap.cdb
第6章\date\datecontrol\db\datecontrol.sgdiff.cdb
第6章\date\datecontrol\db\datecontrol.sgdiff.hdb
第6章\date\datecontrol\db\datecontrol.sim.hdb
第6章\date\datecontrol\db\datecontrol.sim.qmsg
第6章\date\datecontrol\db\datecontrol.sim.rdb
第6章\date\datecontrol\db\datecontrol.sim.vwf
第6章\date\datecontrol\db\datecontrol.sld_design_entry.sci
第6章\date\datecontrol\db\datecontrol.sld_design_entry_dsc.sci
第6章\date\datecontrol\db\datecontrol.syn_hier_info
第6章\date\datecontrol\db\datecontrol.tan.qmsg
第6章\date\datecontrol\db\datecontrol_cmp.qrpt
第6章\date\datecontrol\db\datecontrol_sim.qrpt
第6章\date\date_main\autodate.v
第6章\date\date_main\cmp_state.ini
第6章\date\date_main\datecontrol.v
第6章\date\date_main\date_main.asm.rpt
第6章\date\date_main\date_main.bdf
第6章\date\date_main\date_main.bsf
第6章\date\date_main\date_main.done
第6章\date\date_main\date_main.fit.eqn
第6章\date\date_main\date_main.fit.rpt
第6章\date\date_main\date_main.fit.summary
第6章\date\date_main\date_main.flow.rpt
第6章\date\date_main\date_main.map.eqn
第6章\date\date_main\date_main.map.rpt
第6章\date\date_main\date_main.map.summary
第6章\date\date_main\date_main.pin
第6章\date\date_main\date_main.pof
第6章\date\date_main\date_main.qpf
第6章\date\date_main\date_main.qsf
第6章\date\date_main\date_main.qws
第6章\date\date_main\date_main.sim.rpt
第6章\date\date_main\date_main.tan.rpt
第6章\date\date_main\date_main.tan.summary
第6章\date\date_main\date_main.v
第6章\date\date_main\date_main.vwf
第6章\date\date_main\db\date_main.(0).cnf.cdb
第6章\date\date_main\db\date_main.(0).cnf.hdb
第6章\date\date_main\db\date_main.(1).cnf.cdb
第6章\date\date_main\db\date_main.(1).cnf.hdb
第6章\date\date_main\db\date_main.(2).cnf.cdb
第6章\date\date_main\db\date_main.(2).cnf.hdb
第6章\date\date_main\db\date_main.(3).cnf.cdb
第6章\date\date_main\db\date_main.(3).cnf.hdb
第6章\date\date_main\db\date_main.(4).cnf.cdb
第6章\date\date_main\db\date_main.(4).cnf.hdb
第6章\date\date_main\db\date_main.asm.qmsg
第6章\date\date_main\db\date_main.cmp.cdb
第6章\date\date_main\db\date_main.cmp.ddb
第6章\date\date_main\db\date_main.cmp.hdb
第6章\date\date_main\db\date_main.cmp.rdb
第6章\date\date_main\db\date_main.cmp.tdb
第6章\date\date_main\db\date_main.cmp0.ddb
第6章\date\date_main\db\date_main.db_info
第6章\date\date_main\db\date_main.eco.cdb
第6章\date\date_main\db\date_main.eds_overflow
第6章\date\date_main\db\date_main.fit.qmsg
第6章\date\date_main\db\date_main.hier_info
第6章\date\date_main\db\date_main.hif
第6章\date\date_main\db\date_main.map.cdb
第6章\date\date_main\db\date_main.map.hdb
第6章\date\date_main\db\date_main.map.qmsg
第6章\date\date_main\db\date_main.pre_map.cdb
第6章\date\date_main\db\date_main.pre_map.hdb
第6章\date\date_main\db\date_main.psp
第6章\date\date_main\db\date_main.rtlv.hdb
第6章\date\date_main\db\date_main.rtlv_sg.cdb
第6章\date\date_main\db\date_main.rtlv_sg_swap.cdb
第6章\date\date_main\db\date_main.sgdiff.cdb
第6章\date\date_main\db\date_main.sgdiff.hdb
第6章\date\date_main\db\date_main.sim.hdb
第6章\date\date_main\db\date_main.sim.qmsg
第6章\date\date_main\db\date_main.sim.rdb
第6章\date\date_main\db\date_main.sim.vwf
第6章\date\date_main\db\date_main.sld_design_entry.sci
第6章\date\date_main\db\date_main.sld_design_entry_dsc.sci
第6章\date\date_main\db\date_main.syn_hier_info
第6章\date\date_main\db\date_main.tan.qmsg
第6章\date\date_main\db\date_main_cmp.qrpt
第6章\date\date_main\db\date_main_sim.qrpt
第6章\date\date_main\setdate.v
第6章\date\setdate\cmp_state.ini
第6章\date\setdate\db\setdate.(0).cnf.cdb
第6章\date\setdate\db\setdate.(0).cnf.hdb
第6章\date\setdate\db\setdate.(1).cnf.cdb
第6章\date\setdate\db\setdate.(1).cnf.hdb
第6章\date\setdate\db\setdate.asm.qmsg
第6章\date\setdate\db\setdate.cmp.cdb
第6章\date\setdate\db\setdate.cmp.ddb
第6章\date\setdate\db\setdate.cmp.hdb
第6章\date\setdate\db\setdate.cmp.rdb
第6章\date\setdate\db\setdate.cmp.tdb
第6章\date\setdate\db\setdate.cmp0.ddb
第6章\date\setdate\db\setdate.db_info
第6章\date\setdate\db\setdate.eco.cdb
第6章\date\setdate\db\setdate.eds_overflow
第6章\date\setdate\db\setdate.fit.qmsg
第6章\date\setdate\db\setdate.hier_info
第6章\date\setdate\db\setdate.hif
第6章\date\setdate\db\setdate.map.cdb
第6章\date\setdate\db\setdate.map.hdb
第6章\date\setdate\db\setdate.map.qmsg
第6章\date\setdate\db\setdate.pre_map.cdb
第6章\date\setdate\db\setdate.pre_map.hdb
第6章\date\setdate\db\setdate.psp
第6章\date\setdate\db\setdate.rtlv.hdb
第6章\date\setdate\db\setdate.rtlv_sg.cdb
第6章\date\setdate\db\setdate.rtlv_sg_swap.cdb
第6章\date\setdate\db\setdate.sgdiff.cdb
第6章\date\setdate\db\setdate.sgdiff.hdb
第6章\date\setdate\db\setdate.sim.hdb
第6章\date\setdate\db\setdate.sim.qmsg
第6章\date\setdate\db\setdate.sim.rdb
第6章\date\setdate\db\setdate.sim.vwf
第6章\date\setdate\db\setdate.sld_design_entry.sci
第6章\date\setdate\db\setdate.sld_design_entry_dsc.sci
第6章\date\setdate\db\setdate.syn_hier_info
第6章\date\setdate\db\setdate.tan.qmsg
第6章\date\setdate\db\setdate_cmp.qrpt
第6章\date\setdate\db\setdate_sim.qrpt
第6章\date\setdate\setdate.asm.rpt
第6章\date\setdate\setdate.bsf
第6章\date\setdate\setdate.done
第6章\date\setdate\setdate.fit.eqn
第6章\date\setdate\setdate.fit.rpt
第6章\date\setdate\setdate.fit.summary
第6章\date\setdate\setdate.flow.rpt
第6章\date\setdate\setdate.map.eqn
第6章\date\setdate\setdate.map.rpt
第6章\date\setdate\setdate.map.summary
第6章\date\setdate\setdate.pin
第6章\date\setdate\setdate.pof
第6章\date\setdate\setdate.qpf
第6章\date\setdate\setdate.qsf
第6章\date\setdate\setdate.qws
第6章\date\setdate\setdate.sim.rpt
第6章\date\setdate\setdate.tan.rpt
第6章\date\setdate\setdate.tan.summary
第6章\date\setdate\setdate.v
第6章\date\setdate\setdate.vwf
第6章\disp_data_mux\cmp_state.ini
第6章\disp_data_mux\db\disp_data_mux.(0).cnf.cdb
第6章\disp_data_mux\db\disp_data_mux.(0).cnf.hdb
第6章\disp_data_mux\db\disp_data_mux.asm.qmsg
第6章\disp_data_mux\db\disp_data_mux.cmp.cdb
第6章\disp_data_mux\db\disp_data_mux.cmp.ddb
第6章\disp_data_mux\db\disp_data_mux.cmp.hdb
第6章\disp_data_mux\db\disp_data_mux.cmp.rdb
第6章\disp_data_mux\db\disp_data_mux.cmp.tdb
第6章\disp_data_mux\db\disp_data_mux.cmp0.ddb
第6章\disp_data_mux\db\disp_data_mux.db_info
第6章\disp_data_mux\db\disp_data_mux.eco.cdb
第6章\disp_data_mux\db\disp_data_mux.eds_overflow
第6章\disp_data_mux\db\disp_data_mux.fit.qmsg
第6章\disp_data_mux\db\disp_data_mux.hier_info
第6章\disp_data_mux\db\disp_data_mux.hif
第6章\disp_data_mux\db\disp_data_mux.map.cdb
第6章\disp_data_mux\db\disp_data_mux.map.hdb
第6章\disp_data_mux\db\disp_data_mux.map.qmsg
第6章\disp_data_mux\db\disp_data_mux.pre_map.cdb
第6章\disp_data_mux\db\disp_data_mux.pre_map.hdb
第6章\disp_data_mux\db\disp_data_mux.psp
第6章\disp_data_mux\db\disp_data_mux.rtlv.hdb
第6章\disp_data_mux\db\disp_data_mux.rtlv_sg.cdb
第6章\disp_data_mux\db\disp_data_mux.rtlv_sg_swap.cdb
第6章\disp_data_mux\db\disp_data_mux.sgdiff.cdb
第6章\disp_data_mux\db\disp_data_mux.sgdiff.hdb
第6章\disp_data_mux\db\disp_data_mux.sim.hdb
第6章\disp_data_mux\db\disp_data_mux.sim.qmsg
第6章\disp_data_mux\db\disp_data_mux.sim.rdb
第6章\disp_data_mux\db\disp_data_mux.sim.vwf
第6章\disp_data_mux\db\disp_data_mux.sld_design_entry.sci
第6章\disp_data_mux\db\disp_data_mux.sld_design_entry_dsc.sci
第6章\disp_data_mux\db\disp_data_mux.syn_hier_info
第6章\disp_data_mux\db\disp_data_mux.tan.qmsg
第6章\disp_data_mux\db\disp_data_mux_cmp.qrpt
第6章\disp_data_mux\db\disp_data_mux_sim.qrpt
第6章\disp_data_mux\disp_data_mux.asm.rpt
第6章\disp_data_mux\disp_data_mux.bsf
第6章\disp_data_mux\disp_data_mux.done
第6章\disp_data_mux\disp_data_mux.fit.eqn
第6章\disp_data_mux\disp_data_mux.fit.rpt
第6章\disp_data_mux\disp_data_mux.fit.summary
第6章\disp_data_mux\disp_data_mux.flow.rpt
第6章\disp_data_mux\disp_data_mux.map.eqn
第6章\disp_data_mux\disp_data_mux.map.rpt
第6章\disp_data_mux\disp_data_mux.map.summary
第6章\disp_data_mux\disp_data_mux.pin
第6章\disp_data_mux\disp_data_mux.pof
第6章\disp_data_mux\disp_data_mux.qpf
第6章\disp_data_mux\disp_data_mux.qsf
第6章\disp_data_mux\disp_data_mux.qws
第6章\disp_data_mux\disp_data_mux.sim.rpt
第6章\disp_data_mux\disp_data_mux.tan.rpt
第6章\disp_data_mux\disp_data_mux.tan.summary
第6章\disp_data_mux\disp_data_mux.v
第6章\disp_data_mux\disp_data_mux.vwf
第6章\disp_select\cmp_state.ini
第6章\disp_select\db\disp_select.db_info
第6章\disp_select\db\disp_select.eco.cdb
第6章\disp_select\db\disp_select.sld_design_entry.sci
第6章\disp_select\disp_select.qpf
第6章\disp_select\disp_select.qsf
第6章\disp_select\disp_select.qws
第6章\disp_select\disp_select.v
第6章\fdiv\cmp_state.ini
第6章\fdiv\db\fdiv.(0).cnf.cdb
第6章\fdiv\db\fdiv.(0).cnf.hdb
第6章\fdiv\db\fdiv.(1).cnf.cdb
第6章\fdiv\db\fdiv.(1).cnf.hdb
第6章\fdiv\db\fdiv.(2).cnf.cdb
第6章\fdiv\db\fdiv.(2).cnf.hdb
第6章\fdiv\db\fdiv.(3).cnf.cdb
第6章\fdiv\db\fdiv.(3).cnf.hdb
第6章\fdiv\db\fdiv.(4).cnf.cdb
第6章\fdiv\db\fdiv.(4).cnf.hdb
第6章\fdiv\db\fdiv.asm.qmsg
第6章\fdiv\db\fdiv.cmp.cdb
第6章\fdiv\db\fdiv.cmp.ddb
第6章\fdiv\db\fdiv.cmp.hdb
第6章\fdiv\db\fdiv.cmp.rdb
第6章\fdiv\db\fdiv.cmp.tdb
第6章\fdiv\db\fdiv.cmp0.ddb
第6章\fdiv\db\fdiv.db_info
第6章\fdiv\db\fdiv.eco.cdb
第6章\fdiv\db\fdiv.eds_overflow
第6章\fdiv\db\fdiv.fit.qmsg
第6章\fdiv\db\fdiv.hier_info
第6章\fdiv\db\fdiv.hif
第6章\fdiv\db\fdiv.map.cdb
第6章\fdiv\db\fdiv.map.hdb
第6章\fdiv\db\fdiv.map.qmsg
第6章\fdiv\db\fdiv.pre_map.cdb
第6章\fdiv\db\fdiv.pre_map.hdb
第6章\fdiv\db\fdiv.psp
第6章\fdiv\db\fdiv.rtlv.hdb
第6章\fdiv\db\fdiv.rtlv_sg.cdb
第6章\fdiv\db\fdiv.rtlv_sg_swap.cdb
第6章\fdiv\db\fdiv.sgdiff.cdb
第6章\fdiv\db\fdiv.sgdiff.hdb
第6章\fdiv\db\fdiv.sim.hdb
第6章\fdiv\db\fdiv.sim.qmsg
第6章\fdiv\db\fdiv.sim.rdb
第6章\fdiv\db\fdiv.sim.vwf
第6章\fdiv\db\fdiv.sld_design_entry.sci
第6章\fdiv\db\fdiv.sld_design_entry_dsc.sci
第6章\fdiv\db\fdiv.syn_hier_info
第6章\fdiv\db\fdiv.tan.qmsg
第6章\fdiv\db\fdiv_cmp.qrpt
第6章\fdiv\db\fdiv_sim.qrpt
第6章\fdiv\fdiv.asm.rpt
第6章\fdiv\fdiv.bsf
第6章\fdiv\fdiv.done
第6章\fdiv\fdiv.fit.eqn
第6章\fdiv\fdiv.fit.rpt
第6章\fdiv\fdiv.fit.summary
第6章\fdiv\fdiv.flow.rpt
第6章\fdiv\fdiv.map.eqn
第6章\fdiv\fdiv.map.rpt
第6章\fdiv\fdiv.map.summary
第6章\fdiv\fdiv.pin
第6章\fdiv\fdiv.pof
第6章\fdiv\fdiv.qpf
第6章\fdiv\fdiv.qsf
第6章\fdiv\fdiv.qws
第6章\fdiv\fdiv.sim.rpt
第6章\fdiv\fdiv.tan.rpt
第6章\fdiv\fdiv.tan.summary
第6章\fdiv\fdiv.v
第6章\fdiv\fdiv.vwf
第6章\main\alarmclock.v
第6章\main\autodate.v
第6章\main\cmp_state.ini
第6章\main\datecontrol.v
第6章\main\date_main.v
第6章\main\db\cntr_e08.tdf
第6章\main\db\cntr_jd7.tdf
第6章\main\db\main.(0).cnf.cdb
第6章\main\db\main.(0).cnf.hdb
第6章\main\db\main.(1).cnf.cdb
第6章\main\db\main.(1).cnf.hdb
第6章\main\db\main.(10).cnf.cdb
第6章\main\db\main.(10).cnf.hdb
第6章\main\db\main.(11).cnf.cdb
第6章\main\db\main.(11).cnf.hdb
第6章\main\db\main.(12).cnf.cdb
第6章\main\db\main.(12).cnf.hdb
第6章\main\db\main.(13).cnf.cdb
第6章\main\db\main.(13).cnf.hdb
第6章\main\db\main.(14).cnf.cdb
第6章\main\db\main.(14).cnf.hdb
第6章\main\db\main.(15).cnf.cdb
第6章\main\db\main.(15).cnf.hdb
第6章\main\db\main.(16).cnf.cdb
第6章\main\db\main.(16).cnf.hdb
第6章\main\db\main.(17).cnf.cdb
第6章\main\db\main.(17).cnf.hdb
第6章\main\db\main.(18).cnf.cdb
第6章\main\db\main.(18).cnf.hdb
第6章\main\db\main.(19).cnf.cdb
第6章\main\db\main.(19).cnf.hdb
第6章\main\db\main.(2).cnf.cdb
第6章\main\db\main.(2).cnf.hdb
第6章\main\db\main.(20).cnf.cdb
第6章\main\db\main.(20).cnf.hdb
第6章\main\db\main.(21).cnf.cdb
第6章\main\db\main.(21).cnf.hdb
第6章\main\db\main.(22).cnf.cdb
第6章\main\db\main.(22).cnf.hdb
第6章\main\db\main.(23).cnf.cdb
第6章\main\db\main.(23).cnf.hdb
第6章\main\db\main.(3).cnf.cdb
第6章\main\db\main.(3).cnf.hdb
第6章\main\db\main.(4).cnf.cdb
第6章\main\db\main.(4).cnf.hdb
第6章\main\db\main.(5).cnf.cdb
第6章\main\db\main.(5).cnf.hdb
第6章\main\db\main.(6).cnf.cdb
第6章\main\db\main.(6).cnf.hdb
第6章\main\db\main.(7).cnf.cdb
第6章\main\db\main.(7).cnf.hdb
第6章\main\db\main.(8).cnf.cdb
第6章\main\db\main.(8).cnf.hdb
第6章\main\db\main.(9).cnf.cdb
第6章\main\db\main.(9).cnf.hdb
第6章\main\db\main.asm.qmsg
第6章\main\db\main.cmp.cdb
第6章\main\db\main.cmp.ddb
第6章\main\db\main.cmp.hdb
第6章\main\db\main.cmp.rdb
第6章\main\db\main.cmp.tdb
第6章\main\db\main.cmp0.ddb
第6章\main\db\main.db_info
第6章\main\db\main.eco.cdb
第6章\main\db\main.eds_overflow
第6章\main\db\main.fit.qmsg
第6章\main\db\main.hier_info
第6章\main\db\main.hif
第6章\main\db\main.icc
第6章\main\db\main.map.cdb
第6章\main\db\main.map.hdb
第6章\main\db\main.map.qmsg
第6章\main\db\main.pre_map.cdb
第6章\main\db\main.pre_map.hdb
第6章\main\db\main.psp
第6章\main\db\main.rtlv.hdb
第6章\main\db\main.rtlv_sg.cdb
第6章\main\db\main.rtlv_sg_swap.cdb
第6章\main\db\main.sgdiff.cdb
第6章\main\db\main.sgdiff.hdb
第6章\main\db\main.signalprobe.cdb
第6章\main\db\main.sim.hdb
第6章\main\db\main.sim.qmsg
第6章\main\db\main.sim.rdb
第6章\main\db\main.sim.vwf
第6章\main\db\main.sld_design_entry.sci
第6章\main\db\main.sld_design_entry_dsc.sci
第6章\main\db\main.syn_hier_info
第6章\main\db\main.tan.qmsg
第6章\main\db\main_cmp.qrpt
第6章\main\db\main_sim.qrpt
第6章\main\disp_data_mux.v
第6章\main\fdiv.v
第6章\main\hour_counter.v
第6章\main\main.asm.rpt
第6章\main\main.bdf
第6章\main\main.done
第6章\main\main.fit.eqn
第6章\main\main.fit.rpt
第6章\main\main.fit.summary
第6章\main\main.flow.rpt
第6章\main\main.map.eqn
第6章\main\main.map.rpt
第6章\main\main.map.summary
第6章\main\main.pin
第6章\main\main.pof
第6章\main\main.qpf
第6章\main\main.qsf
第6章\main\main.qws
第6章\main\main.sim.rpt
第6章\main\main.sof
第6章\main\main.tan.rpt
第6章\main\main.tan.summary
第6章\main\main.v
第6章\main\main.vwf
第6章\main\maincontrol.v
第6章\main\minute_counter.v
第6章\main\second_counter.v
第6章\main\setdate.v
第6章\main\stopwatch.v
第6章\main\timepiece_main.v
第6章\main\timeset.v
第6章\main\time_auto_and_set.v
第6章\main\time_disp_select.v
第6章\main\time_mux.v
第6章\maincontrol\cmp_state.ini
第6章\maincontrol\db\maincontrol.(0).cnf.cdb
第6章\maincontrol\db\maincontrol.(0).cnf.hdb
第6章\maincontrol\db\maincontrol.asm.qmsg
第6章\maincontrol\db\maincontrol.cmp.cdb
第6章\maincontrol\db\maincontrol.cmp.ddb
第6章\maincontrol\db\maincontrol.cmp.hdb
第6章\maincontrol\db\maincontrol.cmp.rdb
第6章\maincontrol\db\maincontrol.cmp.tdb
第6章\maincontrol\db\maincontrol.cmp0.ddb
第6章\maincontrol\db\maincontrol.db_info
第6章\maincontrol\db\maincontrol.eco.cdb
第6章\maincontrol\db\maincontrol.eds_overflow
第6章\maincontrol\db\maincontrol.fit.qmsg
第6章\maincontrol\db\maincontrol.hier_info
第6章\maincontrol\db\maincontrol.hif
第6章\maincontrol\db\maincontrol.map.cdb
第6章\maincontrol\db\maincontrol.map.hdb
第6章\maincontrol\db\maincontrol.map.qmsg
第6章\maincontrol\db\maincontrol.pre_map.cdb
第6章\maincontrol\db\maincontrol.pre_map.hdb
第6章\maincontrol\db\maincontrol.psp
第6章\maincontrol\db\maincontrol.rtlv.hdb
第6章\maincontrol\db\maincontrol.rtlv_sg.cdb
第6章\maincontrol\db\maincontrol.rtlv_sg_swap.cdb
第6章\maincontrol\db\maincontrol.sgdiff.cdb
第6章\maincontrol\db\maincontrol.sgdiff.hdb
第6章\maincontrol\db\maincontrol.sim.hdb
第6章\maincontrol\db\maincontrol.sim.qmsg
第6章\maincontrol\db\maincontrol.sim.rdb
第6章\maincontrol\db\maincontrol.sim.vwf
第6章\maincontrol\db\maincontrol.sld_design_entry.sci
第6章\maincontrol\db\maincontrol.sld_design_entry_dsc.sci
第6章\maincontrol\db\maincontrol.syn_hier_info
第6章\maincontrol\db\maincontrol.tan.qmsg
第6章\maincontrol\db\maincontrol_cmp.qrpt
第6章\maincontrol\db\maincontrol_sim.qrpt
第6章\maincontrol\maincontrol.asm.rpt
第6章\maincontrol\maincontrol.bsf
第6章\maincontrol\maincontrol.done
第6章\maincontrol\maincontrol.fit.eqn
第6章\maincontrol\maincontrol.fit.rpt
第6章\maincontrol\maincontrol.fit.summary
第6章\maincontrol\maincontrol.flow.rpt
第6章\maincontrol\maincontrol.map.eqn
第6章\maincontrol\maincontrol.map.rpt
第6章\maincontrol\maincontrol.map.summary
第6章\maincontrol\maincontrol.pin
第6章\maincontrol\maincontrol.pof
第6章\maincontrol\maincontrol.qpf
第6章\maincontrol\maincontrol.qsf
第6章\maincontrol\maincontrol.qws
第6章\maincontrol\maincontrol.sim.rpt
第6章\maincontrol\maincontrol.tan.rpt
第6章\maincontrol\maincontrol.tan.summary
第6章\maincontrol\maincontrol.v
第6章\maincontrol\maincontrol.vwf
第6章\stopwatch\cmp_state.ini
第6章\stopwatch\db\add_sub_8ph.tdf
第6章\stopwatch\db\stopwatch.(0).cnf.cdb
第6章\stopwatch\db\stopwatch.(0).cnf.hdb
第6章\stopwatch\db\stopwatch.(1).cnf.cdb
第6章\stopwatch\db\stopwatch.(1).cnf.hdb
第6章\stopwatch\db\stopwatch.(2).cnf.cdb
第6章\stopwatch\db\stopwatch.(2).cnf.hdb
第6章\stopwatch\db\stopwatch.(3).cnf.cdb
第6章\stopwatch\db\stopwatch.(3).cnf.hdb
第6章\stopwatch\db\stopwatch.(4).cnf.cdb
第6章\stopwatch\db\stopwatch.(4).cnf.hdb
第6章\stopwatch\db\stopwatch.(5).cnf.cdb
第6章\stopwatch\db\stopwatch.(5).cnf.hdb
第6章\stopwatch\db\stopwatch.(6).cnf.cdb
第6章\stopwatch\db\stopwatch.(6).cnf.hdb
第6章\stopwatch\db\stopwatch.(7).cnf.cdb
第6章\stopwatch\db\stopwatch.(7).cnf.hdb
第6章\stopwatch\db\stopwatch.asm.qmsg
第6章\stopwatch\db\stopwatch.cmp.cdb
第6章\stopwatch\db\stopwatch.cmp.ddb
第6章\stopwatch\db\stopwatch.cmp.hdb
第6章\stopwatch\db\stopwatch.cmp.rdb
第6章\stopwatch\db\stopwatch.cmp.tdb
第6章\stopwatch\db\stopwatch.cmp0.ddb
第6章\stopwatch\db\stopwatch.db_info
第6章\stopwatch\db\stopwatch.eco.cdb
第6章\stopwatch\db\stopwatch.eds_overflow
第6章\stopwatch\db\stopwatch.fit.qmsg
第6章\stopwatch\db\stopwatch.hier_info
第6章\stopwatch\db\stopwatch.hif
第6章\stopwatch\db\stopwatch.map.cdb
第6章\stopwatch\db\stopwatch.map.hdb
第6章\stopwatch\db\stopwatch.map.qmsg
第6章\stopwatch\db\stopwatch.pre_map.cdb
第6章\stopwatch\db\stopwatch.pre_map.hdb
第6章\stopwatch\db\stopwatch.psp
第6章\stopwatch\db\stopwatch.rtlv.hdb
第6章\stopwatch\db\stopwatch.rtlv_sg.cdb
第6章\stopwatch\db\stopwatch.rtlv_sg_swap.cdb
第6章\stopwatch\db\stopwatch.sgdiff.cdb
第6章\stopwatch\db\stopwatch.sgdiff.hdb
第6章\stopwatch\db\stopwatch.sim.hdb
第6章\stopwatch\db\stopwatch.sim.qmsg
第6章\stopwatch\db\stopwatch.sim.rdb
第6章\stopwatch\db\stopwatch.sim.vwf
第6章\stopwatch\db\stopwatch.sld_design_entry.sci
第6章\stopwatch\db\stopwatch.sld_design_entry_dsc.sci
第6章\stopwatch\db\stopwatch.syn_hier_info
第6章\stopwatch\db\stopwatch.tan.qmsg
第6章\stopwatch\db\stopwatch_cmp.qrpt
第6章\stopwatch\db\stopwatch_sim.qrpt
第6章\stopwatch\stopwatch.asm.rpt
第6章\stopwatch\stopwatch.bsf
第6章\stopwatch\stopwatch.done
第6章\stopwatch\stopwatch.fit.eqn
第6章\stopwatch\stopwatch.fit.rpt
第6章\stopwatch\stopwatch.fit.summary
第6章\stopwatch\stopwatch.flow.rpt
第6章\stopwatch\stopwatch.map.eqn
第6章\stopwatch\stopwatch.map.rpt
第6章\stopwatch\stopwatch.map.summary
第6章\stopwatch\stopwatch.pin
第6章\stopwatch\stopwatch.pof
第6章\stopwatch\stopwatch.qpf
第6章\stopwatch\stopwatch.qsf
第6章\stopwatch\stopwatch.qws
第6章\stopwatch\stopwatch.sim.rpt
第6章\stopwatch\stopwatch.tan.rpt
第6章\stopwatch\stopwatch.tan.summary
第6章\stopwatch\stopwatch.v
第6章\stopwatch\stopwatch.vwf
第6章\timepiece\hour_counter\cmp_state.ini
第6章\timepiece\hour_counter\db\hour_counter.(0).cnf.cdb
第6章\timepiece\hour_counter\db\hour_counter.(0).cnf.hdb
第6章\timepiece\hour_counter\db\hour_counter.(1).cnf.cdb
第6章\timepiece\hour_counter\db\hour_counter.(1).cnf.hdb
第6章\timepiece\hour_counter\db\hour_counter.asm.qmsg
第6章\timepiece\hour_counter\db\hour_counter.cmp.cdb
第6章\timepiece\hour_counter\db\hour_counter.cmp.ddb
第6章\timepiece\hour_counter\db\hour_counter.cmp.hdb
第6章\timepiece\hour_counter\db\hour_counter.cmp.rdb
第6章\timepiece\hour_counter\db\hour_counter.cmp.tdb
第6章\timepiece\hour_counter\db\hour_counter.cmp0.ddb
第6章\timepiece\hour_counter\db\hour_counter.db_info
第6章\timepiece\hour_counter\db\hour_counter.eco.cdb
第6章\timepiece\hour_counter\db\hour_counter.eds_overflow
第6章\timepiece\hour_counter\db\hour_counter.fit.qmsg
第6章\timepiece\hour_counter\db\hour_counter.hier_info
第6章\timepiece\hour_counter\db\hour_counter.hif
第6章\timepiece\hour_counter\db\hour_counter.map.cdb
第6章\timepiece\hour_counter\db\hour_counter.map.hdb
第6章\timepiece\hour_counter\db\hour_counter.map.qmsg
第6章\timepiece\hour_counter\db\hour_counter.pre_map.cdb
第6章\timepiece\hour_counter\db\hour_counter.pre_map.hdb
第6章\timepiece\hour_counter\db\hour_counter.psp
第6章\timepiece\hour_counter\db\hour_counter.rtlv.hdb
第6章\timepiece\hour_counter\db\hour_counter.rtlv_sg.cdb
第6章\timepiece\hour_counter\db\hour_counter.rtlv_sg_swap.cdb
第6章\timepiece\hour_counter\db\hour_counter.sgdiff.cdb
第6章\timepiece\hour_counter\db\hour_counter.sgdiff.hdb
第6章\timepiece\hour_counter\db\hour_counter.sim.hdb
第6章\timepiece\hour_counter\db\hour_counter.sim.qmsg
第6章\timepiece\hour_counter\db\hour_counter.sim.rdb
第6章\timepiece\hour_counter\db\hour_counter.sim.vwf
第6章\timepiece\hour_counter\db\hour_counter.sld_design_entry.sci
第6章\timepiece\hour_counter\db\hour_counter.sld_design_entry_dsc.sci
第6章\timepiece\hour_counter\db\hour_counter.syn_hier_info
第6章\timepiece\hour_counter\db\hour_counter.tan.qmsg
第6章\timepiece\hour_counter\db\hour_counter_cmp.qrpt
第6章\timepiece\hour_counter\db\hour_counter_sim.qrpt
第6章\timepiece\hour_counter\hour_counter.asm.rpt
第6章\timepiece\hour_counter\hour_counter.bsf
第6章\timepiece\hour_counter\hour_counter.done
第6章\timepiece\hour_counter\hour_counter.fit.eqn
第6章\timepiece\hour_counter\hour_counter.fit.rpt
第6章\timepiece\hour_counter\hour_counter.fit.summary
第6章\timepiece\hour_counter\hour_counter.flow.rpt
第6章\timepiece\hour_counter\hour_counter.map.eqn
第6章\timepiece\hour_counter\hour_counter.map.rpt
第6章\timepiece\hour_counter\hour_counter.map.summary
第6章\timepiece\hour_counter\hour_counter.pin
第6章\timepiece\hour_counter\hour_counter.pof
第6章\timepiece\hour_counter\hour_counter.qpf
第6章\timepiece\hour_counter\hour_counter.qsf
第6章\timepiece\hour_counter\hour_counter.qws
第6章\timepiece\hour_counter\hour_counter.sim.rpt
第6章\timepiece\hour_counter\hour_counter.tan.rpt
第6章\timepiece\hour_counter\hour_counter.tan.summary
第6章\timepiece\hour_counter\hour_counter.v
第6章\timepiece\hour_counter\hour_counter.vwf
第6章\timepiece\minute_counter\cmp_state.ini
第6章\timepiece\minute_counter\db\minute_counter.(0).cnf.cdb
第6章\timepiece\minute_counter\db\minute_counter.(0).cnf.hdb
第6章\timepiece\minute_counter\db\minute_counter.(1).cnf.cdb
第6章\timepiece\minute_counter\db\minute_counter.(1).cnf.hdb
第6章\timepiece\minute_counter\db\minute_counter.asm.qmsg
第6章\timepiece\minute_counter\db\minute_counter.cmp.cdb
第6章\timepiece\minute_counter\db\minute_counter.cmp.ddb
第6章\timepiece\minute_counter\db\minute_counter.cmp.hdb
第6章\timepiece\minute_counter\db\minute_counter.cmp.rdb
第6章\timepiece\minute_counter\db\minute_counter.cmp.tdb
第6章\timepiece\minute_counter\db\minute_counter.cmp0.ddb
第6章\timepiece\minute_counter\db\minute_counter.db_info
第6章\timepiece\minute_counter\db\minute_counter.eco.cdb
第6章\timepiece\minute_counter\db\minute_counter.eds_overflow
第6章\timepiece\minute_counter\db\minute_counter.fit.qmsg
第6章\timepiece\minute_counter\db\minute_counter.hier_info
第6章\timepiece\minute_counter\db\minute_counter.hif
第6章\timepiece\minute_counter\db\minute_counter.map.cdb
第6章\timepiece\minute_counter\db\minute_counter.map.hdb
第6章\timepiece\minute_counter\db\minute_counter.map.qmsg
第6章\timepiece\minute_counter\db\minute_counter.pre_map.cdb
第6章\timepiece\minute_counter\db\minute_counter.pre_map.hdb
第6章\timepiece\minute_counter\db\minute_counter.psp
第6章\timepiece\minute_counter\db\minute_counter.rtlv.hdb
第6章\timepiece\minute_counter\db\minute_counter.rtlv_sg.cdb
第6章\timepiece\minute_counter\db\minute_counter.rtlv_sg_swap.cdb
第6章\timepiece\minute_counter\db\minute_counter.sgdiff.cdb
第6章\timepiece\minute_counter\db\minute_counter.sgdiff.hdb
第6章\timepiece\minute_counter\db\minute_counter.sim.hdb
第6章\timepiece\minute_counter\db\minute_counter.sim.qmsg
第6章\timepiece\minute_counter\db\minute_counter.sim.rdb
第6章\timepiece\minute_counter\db\minute_counter.sim.vwf
第6章\timepiece\minute_counter\db\minute_counter.sld_design_entry.sci
第6章\timepiece\minute_counter\db\minute_counter.sld_design_entry_dsc.sci
第6章\timepiece\minute_counter\db\minute_counter.syn_hier_info
第6章\timepiece\minute_counter\db\minute_counter.tan.qmsg
第6章\timepiece\minute_counter\db\minute_counter_cmp.qrpt
第6章\timepiece\minute_counter\db\minute_counter_sim.qrpt
第6章\timepiece\minute_counter\minute_counter.asm.rpt
第6章\timepiece\minute_counter\minute_counter.bsf
第6章\timepiece\minute_counter\minute_counter.done
第6章\timepiece\minute_counter\minute_counter.fit.eqn
第6章\timepiece\minute_counter\minute_counter.fit.rpt
第6章\timepiece\minute_counter\minute_counter.fit.summary
第6章\timepiece\minute_counter\minute_counter.flow.rpt
第6章\timepiece\minute_counter\minute_counter.map.eqn
第6章\timepiece\minute_counter\minute_counter.map.rpt
第6章\timepiece\minute_counter\minute_counter.map.summary
第6章\timepiece\minute_counter\minute_counter.pin
第6章\timepiece\minute_counter\minute_counter.pof
第6章\timepiece\minute_counter\minute_counter.qpf
第6章\timepiece\minute_counter\minute_counter.qsf
第6章\timepiece\minute_counter\minute_counter.qws
第6章\timepiece\minute_counter\minute_counter.sim.rpt
第6章\timepiece\minute_counter\minute_counter.tan.rpt
第6章\timepiece\minute_counter\minute_counter.tan.summary
第6章\timepiece\minute_counter\minute_counter.v
第6章\timepiece\minute_counter\minute_counter.vwf
第6章\timepiece\second_counter\cmp_state.ini
第6章\timepiece\second_counter\db\second_counter.(0).cnf.cdb
第6章\timepiece\second_counter\db\second_counter.(0).cnf.hdb
第6章\timepiece\second_counter\db\second_counter.(1).cnf.cdb
第6章\timepiece\second_counter\db\second_counter.(1).cnf.hdb
第6章\timepiece\second_counter\db\second_counter.asm.qmsg
第6章\timepiece\second_counter\db\second_counter.cmp.cdb
第6章\timepiece\second_counter\db\second_counter.cmp.ddb
第6章\timepiece\second_counter\db\second_counter.cmp.hdb
第6章\timepiece\second_counter\db\second_counter.cmp.rdb
第6章\timepiece\second_counter\db\second_counter.cmp.tdb
第6章\timepiece\second_counter\db\second_counter.cmp0.ddb
第6章\timepiece\second_counter\db\second_counter.db_info
第6章\timepiece\second_counter\db\second_counter.eco.cdb
第6章\timepiece\second_counter\db\second_counter.eds_overflow
第6章\timepiece\second_counter\db\second_counter.fit.qmsg
第6章\timepiece\second_counter\db\second_counter.hier_info
第6章\timepiece\second_counter\db\second_counter.hif
第6章\timepiece\second_counter\db\second_counter.map.cdb
第6章\timepiece\second_counter\db\second_counter.map.hdb
第6章\timepiece\second_counter\db\second_counter.map.qmsg
第6章\timepiece\second_counter\db\second_counter.pre_map.cdb
第6章\timepiece\second_counter\db\second_counter.pre_map.hdb
第6章\timepiece\second_counter\db\second_counter.psp
第6章\timepiece\second_counter\db\second_counter.rtlv.hdb
第6章\timepiece\second_counter\db\second_counter.rtlv_sg.cdb
第6章\timepiece\second_counter\db\second_counter.rtlv_sg_swap.cdb
第6章\timepiece\second_counter\db\second_counter.sgdiff.cdb
第6章\timepiece\second_counter\db\second_counter.sgdiff.hdb
第6章\timepiece\second_counter\db\second_counter.sim.hdb
第6章\timepiece\second_counter\db\second_counter.sim.qmsg
第6章\timepiece\second_counter\db\second_counter.sim.rdb
第6章\timepiece\second_counter\db\second_counter.sim.vwf
第6章\timepiece\second_counter\db\second_counter.sld_design_entry.sci
第6章\timepiece\second_counter\db\second_counter.sld_design_entry_dsc.sci
第6章\timepiece\second_counter\db\second_counter.syn_hier_info
第6章\timepiece\second_counter\db\second_counter.tan.qmsg
第6章\timepiece\second_counter\db\second_counter_cmp.qrpt
第6章\timepiece\second_counter\db\second_counter_sim.qrpt
第6章\timepiece\second_counter\main.bdf
第6章\timepiece\second_counter\second_counter.asm.rpt
第6章\timepiece\second_counter\second_counter.bsf
第6章\timepiece\second_counter\second_counter.done
第6章\timepiece\second_counter\second_counter.fit.eqn
第6章\timepiece\second_counter\second_counter.fit.rpt
第6章\timepiece\second_counter\second_counter.fit.summary
第6章\timepiece\second_counter\second_counter.flow.rpt
第6章\timepiece\second_counter\second_counter.map.eqn
第6章\timepiece\second_counter\second_counter.map.rpt
第6章\timepiece\second_counter\second_counter.map.summary
第6章\timepiece\second_counter\second_counter.pin
第6章\timepiece\second_counter\second_counter.pof
第6章\timepiece\second_counter\second_counter.qpf
第6章\timepiece\second_counter\second_counter.qsf
第6章\timepiece\second_counter\second_counter.qws
第6章\timepiece\second_counter\second_counter.sim.rpt
第6章\timepiece\second_counter\second_counter.tan.rpt
第6章\timepiece\second_counter\second_counter.tan.summary
第6章\timepiece\second_counter\second_counter.v
第6章\timepiece\second_counter\second_counter.vwf
第6章\timepiece\timepiece_main\cmp_state.ini
第6章\timepiece\timepiece_main\db\timepiece_main.(0).cnf.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.(0).cnf.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.(1).cnf.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.(1).cnf.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.(2).cnf.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.(2).cnf.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.(3).cnf.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.(3).cnf.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.(4).cnf.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.(4).cnf.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.asm.qmsg
第6章\timepiece\timepiece_main\db\timepiece_main.cmp.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.cmp.ddb
第6章\timepiece\timepiece_main\db\timepiece_main.cmp.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.cmp.rdb
第6章\timepiece\timepiece_main\db\timepiece_main.cmp.tdb
第6章\timepiece\timepiece_main\db\timepiece_main.cmp0.ddb
第6章\timepiece\timepiece_main\db\timepiece_main.db_info
第6章\timepiece\timepiece_main\db\timepiece_main.eco.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.eds_overflow
第6章\timepiece\timepiece_main\db\timepiece_main.fit.qmsg
第6章\timepiece\timepiece_main\db\timepiece_main.hier_info
第6章\timepiece\timepiece_main\db\timepiece_main.hif
第6章\timepiece\timepiece_main\db\timepiece_main.map.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.map.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.map.qmsg
第6章\timepiece\timepiece_main\db\timepiece_main.pre_map.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.pre_map.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.psp
第6章\timepiece\timepiece_main\db\timepiece_main.rtlv.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.rtlv_sg.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.rtlv_sg_swap.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.sgdiff.cdb
第6章\timepiece\timepiece_main\db\timepiece_main.sgdiff.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.sim.hdb
第6章\timepiece\timepiece_main\db\timepiece_main.sim.qmsg
第6章\timepiece\timepiece_main\db\timepiece_main.sim.rdb
第6章\timepiece\timepiece_main\db\timepiece_main.sim.vwf
第6章\timepiece\timepiece_main\db\timepiece_main.sld_design_entry.sci
第6章\timepiece\timepiece_main\db\timepiece_main.sld_design_entry_dsc.sci
第6章\timepiece\timepiece_main\db\timepiece_main.syn_hier_info
第6章\timepiece\timepiece_main\db\timepiece_main.tan.qmsg
第6章\timepiece\timepiece_main\db\timepiece_main_cmp.qrpt
第6章\timepiece\timepiece_main\db\timepiece_main_sim.qrpt
第6章\timepiece\timepiece_main\hour_counter.v
第6章\timepiece\timepiece_main\minute_counter.v
第6章\timepiece\timepiece_main\second_counter.v
第6章\timepiece\timepiece_main\timepiece_main.asm.rpt
第6章\timepiece\timepiece_main\timepiece_main.bsf
第6章\timepiece\timepiece_main\timepiece_main.done
第6章\timepiece\timepiece_main\timepiece_main.fit.eqn
第6章\timepiece\timepiece_main\timepiece_main.fit.rpt
第6章\timepiece\timepiece_main\timepiece_main.fit.summary
第6章\timepiece\timepiece_main\timepiece_main.flow.rpt
第6章\timepiece\timepiece_main\timepiece_main.map.eqn
第6章\timepiece\timepiece_main\timepiece_main.map.rpt
第6章\timepiece\timepiece_main\timepiece_main.map.summary
第6章\timepiece\timepiece_main\timepiece_main.pin
第6章\timepiece\timepiece_main\timepiece_main.pof
第6章\timepiece\timepiece_main\timepiece_main.qpf
第6章\timepiece\timepiece_main\timepiece_main.qsf
第6章\timepiece\timepiece_main\timepiece_main.qws
第6章\timepiece\timepiece_main\timepiece_main.sim.rpt
第6章\timepiece\timepiece_main\timepiece_main.tan.rpt
第6章\timepiece\timepiece_main\timepiece_main.tan.summary
第6章\timepiece\timepiece_main\timepiece_main.v
第6章\timepiece\timepiece_main\timepiece_main.vwf
第6章\timeset\cmp_state.ini
第6章\timeset\db\timeset.(0).cnf.cdb
第6章\timeset\db\timeset.(0).cnf.hdb
第6章\timeset\db\timeset.(1).cnf.cdb
第6章\timeset\db\timeset.(1).cnf.hdb
第6章\timeset\db\timeset.asm.qmsg
第6章\timeset\db\timeset.cmp.cdb
第6章\timeset\db\timeset.cmp.ddb
第6章\timeset\db\timeset.cmp.hdb
第6章\timeset\db\timeset.cmp.rdb
第6章\timeset\db\timeset.cmp.tdb
第6章\timeset\db\timeset.cmp0.ddb
第6章\timeset\db\timeset.db_info
第6章\timeset\db\timeset.eco.cdb
第6章\timeset\db\timeset.eds_overflow
第6章\timeset\db\timeset.fit.qmsg
第6章\timeset\db\timeset.hier_info
第6章\timeset\db\timeset.hif
第6章\timeset\db\timeset.map.cdb
第6章\timeset\db\timeset.map.hdb
第6章\timeset\db\timeset.map.qmsg
第6章\timeset\db\timeset.pre_map.cdb
第6章\timeset\db\timeset.pre_map.hdb
第6章\timeset\db\timeset.psp
第6章\timeset\db\timeset.rtlv.hdb
第6章\timeset\db\timeset.rtlv_sg.cdb
第6章\timeset\db\timeset.rtlv_sg_swap.cdb
第6章\timeset\db\timeset.sgdiff.cdb
第6章\timeset\db\timeset.sgdiff.hdb
第6章\timeset\db\timeset.sim.hdb
第6章\timeset\db\timeset.sim.qmsg
第6章\timeset\db\timeset.sim.rdb
第6章\timeset\db\timeset.sim.vwf
第6章\timeset\db\timeset.sld_design_entry.sci
第6章\timeset\db\timeset.sld_design_entry_dsc.sci
第6章\timeset\db\timeset.syn_hier_info
第6章\timeset\db\timeset.tan.qmsg
第6章\timeset\db\timeset_cmp.qrpt
第6章\timeset\db\timeset_sim.qrpt
第6章\timeset\timeset.asm.rpt
第6章\timeset\timeset.bsf
第6章\timeset\timeset.done
第6章\timeset\timeset.fit.eqn
第6章\timeset\timeset.fit.rpt
第6章\timeset\timeset.fit.summary
第6章\timeset\timeset.flow.rpt
第6章\timeset\timeset.map.eqn
第6章\timeset\timeset.map.rpt
第6章\timeset\timeset.map.summary
第6章\timeset\timeset.pin
第6章\timeset\timeset.pof
第6章\timeset\timeset.qpf
第6章\timeset\timeset.qsf
第6章\timeset\timeset.qws
第6章\timeset\timeset.sim.rpt
第6章\timeset\timeset.tan.rpt
第6章\timeset\timeset.tan.summary
第6章\timeset\timeset.v
第6章\timeset\timeset.vwf
第6章\time_auto_and_set\cmp_state.ini
第6章\time_auto_and_set\db\time_auto_and_set.(0).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(0).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.(1).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(1).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.(2).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(2).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.(3).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(3).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.(4).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(4).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.(5).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(5).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.(6).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(6).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.(7).cnf.cdb
第6章\time_auto_and_set\db\time_auto_and_set.(7).cnf.hdb
第6章\time_auto_and_set\db\time_auto_and_set.asm.qmsg
第6章\time_auto_and_set\db\time_auto_and_set.cmp.cdb
第6章\time_auto_and_set\db\time_auto_and_set.cmp.ddb
第6章\time_auto_and_set\db\time_auto_and_set.cmp.hdb
第6章\time_auto_and_set\db\time_auto_and_set.cmp.rdb
第6章\time_auto_and_set\db\time_auto_and_set.cmp.tdb
第6章\time_auto_and_set\db\time_auto_and_set.cmp0.ddb
第6章\time_auto_and_set\db\time_auto_and_set.db_info
第6章\time_auto_and_set\db\time_auto_and_set.eco.cdb
第6章\time_auto_and_set\db\time_auto_and_set.eds_overflow
第6章\time_auto_and_set\db\time_auto_and_set.fit.qmsg
第6章\time_auto_and_set\db\time_auto_and_set.hier_info
第6章\time_auto_and_set\db\time_auto_and_set.hif
第6章\time_auto_and_set\db\time_auto_and_set.map.cdb
第6章\time_auto_and_set\db\time_auto_and_set.map.hdb
第6章\time_auto_and_set\db\time_auto_and_set.map.qmsg
第6章\time_auto_and_set\db\time_auto_and_set.pre_map.cdb
第6章\time_auto_and_set\db\time_auto_and_set.pre_map.hdb
第6章\time_auto_and_set\db\time_auto_and_set.psp
第6章\time_auto_and_set\db\time_auto_and_set.rtlv.hdb
第6章\time_auto_and_set\db\time_auto_and_set.rtlv_sg.cdb
第6章\time_auto_and_set\db\time_auto_and_set.rtlv_sg_swap.cdb
第6章\time_auto_and_set\db\time_auto_and_set.sgdiff.cdb
第6章\time_auto_and_set\db\time_auto_and_set.sgdiff.hdb
第6章\time_auto_and_set\db\time_auto_and_set.sim.hdb
第6章\time_auto_and_set\db\time_auto_and_set.sim.qmsg
第6章\time_auto_and_set\db\time_auto_and_set.sim.rdb
第6章\time_auto_and_set\db\time_auto_and_set.sim.vwf
第6章\time_auto_and_set\db\time_auto_and_set.sld_design_entry.sci
第6章\time_auto_and_set\db\time_auto_and_set.sld_design_entry_dsc.sci
第6章\time_auto_and_set\db\time_auto_and_set.syn_hier_info
第6章\time_auto_and_set\db\time_auto_and_set.tan.qmsg
第6章\time_auto_and_set\db\time_auto_and_set_cmp.qrpt
第6章\time_auto_and_set\db\time_auto_and_set_sim.qrpt
第6章\time_auto_and_set\hour_counter.v
第6章\time_auto_and_set\minute_counter.v
第6章\time_auto_and_set\second_counter.v
第6章\time_auto_and_set\time.v
第6章\time_auto_and_set\timepiece_main.v
第6章\time_auto_and_set\timeset.v
第6章\time_auto_and_set\time_auto_and_set.asm.rpt
第6章\time_auto_and_set\time_auto_and_set.bsf
第6章\time_auto_and_set\time_auto_and_set.done
第6章\time_auto_and_set\time_auto_and_set.fit.eqn
第6章\time_auto_and_set\time_auto_and_set.fit.rpt
第6章\time_auto_and_set\time_auto_and_set.fit.summary
第6章\time_auto_and_set\time_auto_and_set.flow.rpt
第6章\time_auto_and_set\time_auto_and_set.map.eqn
第6章\time_auto_and_set\time_auto_and_set.map.rpt
第6章\time_auto_and_set\time_auto_and_set.map.summary
第6章\time_auto_and_set\time_auto_and_set.pin
第6章\time_auto_and_set\time_auto_and_set.pof
第6章\time_auto_and_set\time_auto_and_set.qpf
第6章\time_auto_and_set\time_auto_and_set.qsf
第6章\time_auto_and_set\time_auto_and_set.qws
第6章\time_auto_and_set\time_auto_and_set.sim.rpt
第6章\time_auto_and_set\time_auto_and_set.tan.rpt
第6章\time_auto_and_set\time_auto_and_set.tan.summary
第6章\time_auto_and_set\time_auto_and_set.v
第6章\time_auto_and_set\time_auto_and_set.vwf
第6章\time_auto_and_set\time_mux.v
第6章\time_disp_select\cmp_state.ini
第6章\time_disp_select\db\time_disp_select.(0).cnf.cdb
第6章\time_disp_select\db\time_disp_select.(0).cnf.hdb
第6章\time_disp_select\db\time_disp_select.asm.qmsg
第6章\time_disp_select\db\time_disp_select.cmp.cdb
第6章\time_disp_select\db\time_disp_select.cmp.ddb
第6章\time_disp_select\db\time_disp_select.cmp.hdb
第6章\time_disp_select\db\time_disp_select.cmp.rdb
第6章\time_disp_select\db\time_disp_select.cmp.tdb
第6章\time_disp_select\db\time_disp_select.cmp0.ddb
第6章\time_disp_select\db\time_disp_select.db_info
第6章\time_disp_select\db\time_disp_select.eco.cdb
第6章\time_disp_select\db\time_disp_select.eds_overflow
第6章\time_disp_select\db\time_disp_select.fit.qmsg
第6章\time_disp_select\db\time_disp_select.hier_info
第6章\time_disp_select\db\time_disp_select.hif
第6章\time_disp_select\db\time_disp_select.map.cdb
第6章\time_disp_select\db\time_disp_select.map.hdb
第6章\time_disp_select\db\time_disp_select.map.qmsg
第6章\time_disp_select\db\time_disp_select.pre_map.cdb
第6章\time_disp_select\db\time_disp_select.pre_map.hdb
第6章\time_disp_select\db\time_disp_select.psp
第6章\time_disp_select\db\time_disp_select.rtlv.hdb
第6章\time_disp_select\db\time_disp_select.rtlv_sg.cdb
第6章\time_disp_select\db\time_disp_select.rtlv_sg_swap.cdb
第6章\time_disp_select\db\time_disp_select.sgdiff.cdb
第6章\time_disp_select\db\time_disp_select.sgdiff.hdb
第6章\time_disp_select\db\time_disp_select.sim.hdb
第6章\time_disp_select\db\time_disp_select.sim.qmsg
第6章\time_disp_select\db\time_disp_select.sim.rdb
第6章\time_disp_select\db\time_disp_select.sim.vwf
第6章\time_disp_select\db\time_disp_select.sld_design_entry.sci
第6章\time_disp_select\db\time_disp_select.sld_design_entry_dsc.sci
第6章\time_disp_select\db\time_disp_select.syn_hier_info
第6章\time_disp_select\db\time_disp_select.tan.qmsg
第6章\time_disp_select\db\time_disp_select_cmp.qrpt
第6章\time_disp_select\db\time_disp_select_sim.qrpt
第6章\time_disp_select\time_disp_select.asm.rpt
第6章\time_disp_select\time_disp_select.bsf
第6章\time_disp_select\time_disp_select.done
第6章\time_disp_select\time_disp_select.fit.eqn
第6章\time_disp_select\time_disp_select.fit.rpt
第6章\time_disp_select\time_disp_select.fit.summary
第6章\time_disp_select\time_disp_select.flow.rpt
第6章\time_disp_select\time_disp_select.map.eqn
第6章\time_disp_select\time_disp_select.map.rpt
第6章\time_disp_select\time_disp_select.map.summary
第6章\time_disp_select\time_disp_select.pin
第6章\time_disp_select\time_disp_select.pof
第6章\time_disp_select\time_disp_select.qpf
第6章\time_disp_select\time_disp_select.qsf
第6章\time_disp_select\time_disp_select.qws
第6章\time_disp_select\time_disp_select.sim.rpt
第6章\time_disp_select\time_disp_select.tan.rpt
第6章\time_disp_select\time_disp_select.tan.summary
第6章\time_disp_select\time_disp_select.v
第6章\time_disp_select\time_disp_select.vwf
第6章\time_mux\cmp_state.ini
第6章\time_mux\db\time_mux.(0).cnf.cdb
第6章\time_mux\db\time_mux.(0).cnf.hdb
第6章\time_mux\db\time_mux.asm.qmsg
第6章\time_mux\db\time_mux.cmp.cdb
第6章\time_mux\db\time_mux.cmp.ddb
第6章\time_mux\db\time_mux.cmp.hdb
第6章\time_mux\db\time_mux.cmp.rdb
第6章\time_mux\db\time_mux.cmp.tdb
第6章\time_mux\db\time_mux.cmp0.ddb
第6章\time_mux\db\time_mux.db_info
第6章\time_mux\db\time_mux.eco.cdb
第6章\time_mux\db\time_mux.eds_overflow
第6章\time_mux\db\time_mux.fit.qmsg
第6章\time_mux\db\time_mux.hier_info
第6章\time_mux\db\time_mux.hif
第6章\time_mux\db\time_mux.map.cdb
第6章\time_mux\db\time_mux.map.hdb
第6章\time_mux\db\time_mux.map.qmsg
第6章\time_mux\db\time_mux.pre_map.cdb
第6章\time_mux\db\time_mux.pre_map.hdb
第6章\time_mux\db\time_mux.psp
第6章\time_mux\db\time_mux.rtlv.hdb
第6章\time_mux\db\time_mux.rtlv_sg.cdb
第6章\time_mux\db\time_mux.rtlv_sg_swap.cdb
第6章\time_mux\db\time_mux.sgdiff.cdb
第6章\time_mux\db\time_mux.sgdiff.hdb
第6章\time_mux\db\time_mux.sim.hdb
第6章\time_mux\db\time_mux.sim.qmsg
第6章\time_mux\db\time_mux.sim.rdb
第6章\time_mux\db\time_mux.sim.vwf
第6章\time_mux\db\time_mux.sld_design_entry.sci
第6章\time_mux\db\time_mux.sld_design_entry_dsc.sci
第6章\time_mux\db\time_mux.syn_hier_info
第6章\time_mux\db\time_mux.tan.qmsg
第6章\time_mux\db\time_mux_cmp.qrpt
第6章\time_mux\db\time_mux_sim.qrpt
第6章\time_mux\time_mux.asm.rpt
第6章\time_mux\time_mux.bsf
第6章\time_mux\time_mux.done
第6章\time_mux\time_mux.fit.eqn
第6章\time_mux\time_mux.fit.rpt
第6章\time_mux\time_mux.fit.summary
第6章\time_mux\time_mux.flow.rpt
第6章\time_mux\time_mux.map.eqn
第6章\time_mux\time_mux.map.rpt
第6章\time_mux\time_mux.map.summary
第6章\time_mux\time_mux.pin
第6章\time_mux\time_mux.pof
第6章\time_mux\time_mux.qpf
第6章\time_mux\time_mux.qsf
第6章\time_mux\time_mux.qws
第6章\time_mux\time_mux.sim.rpt
第6章\time_mux\time_mux.tan.rpt
第6章\time_mux\time_mux.tan.summary
第6章\time_mux\time_mux.v
第6章\time_mux\time_mux.vwf
第6章\date\autodate\db
第6章\date\datecontrol\db
第6章\date\date_main\db
第6章\date\setdate\db
第6章\timepiece\hour_counter\db
第6章\timepiece\minute_counter\db
第6章\timepiece\second_counter\db
第6章\timepiece\timepiece_main\db
第6章\alarmclock\db
第6章\date\autodate
第6章\date\datecontrol
第6章\date\date_main
第6章\date\setdate
第6章\disp_data_mux\db
第6章\disp_select\db
第6章\fdiv\db
第6章\main\db
第6章\maincontrol\db
第6章\stopwatch\db
第6章\timepiece\hour_counter
第6章\timepiece\minute_counter
第6章\timepiece\second_counter
第6章\timepiece\timepiece_main
第6章\timeset\db
第6章\time_auto_and_set\db
第6章\time_disp_select\db
第6章\time_mux\db
第6章\alarmclock
第6章\date
第6章\disp_data_mux
第6章\disp_select
第6章\fdiv
第6章\main
第6章\maincontrol
第6章\stopwatch
第6章\timepiece
第6章\timeset
第6章\time_auto_and_set
第6章\time_disp_select
第6章\time_mux
第6章

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org