文件名称:zbt_rd_vhdl_str_v1.0.0

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 1.61mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • li j*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

ddr2 controller功能控制,里面有四个模块
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 109201269zbt_rd_vhdl_str_v1.0.0.rar 列表
zbt_rd_vhdl_str_v1.0.0\doc\zbtsramstratix.pdf
zbt_rd_vhdl_str_v1.0.0\doc\zbt_rd_vhdl_str_v1.0.0.txt
zbt_rd_vhdl_str_v1.0.0\lib\addr_ctrl_out.vhd
zbt_rd_vhdl_str_v1.0.0\lib\cmp_state.ini
zbt_rd_vhdl_str_v1.0.0\lib\data_inout.vhd
zbt_rd_vhdl_str_v1.0.0\lib\db\top(0).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(0).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(1).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(1).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(2).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(2).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(3).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(3).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(4).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(4).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(5).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(5).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(6).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(6).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(7).cnf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top(7).cnf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top-sim.vwf
zbt_rd_vhdl_str_v1.0.0\lib\db\top.asm.qmsg
zbt_rd_vhdl_str_v1.0.0\lib\db\top.csf.qmsg
zbt_rd_vhdl_str_v1.0.0\lib\db\top.db_info
zbt_rd_vhdl_str_v1.0.0\lib\db\top.eda.qmsg
zbt_rd_vhdl_str_v1.0.0\lib\db\top.fit.qmsg
zbt_rd_vhdl_str_v1.0.0\lib\db\top.hif
zbt_rd_vhdl_str_v1.0.0\lib\db\top.icc
zbt_rd_vhdl_str_v1.0.0\lib\db\top.map.qmsg
zbt_rd_vhdl_str_v1.0.0\lib\db\top.psf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top.sim.qmsg
zbt_rd_vhdl_str_v1.0.0\lib\db\top.tan.qmsg
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.csf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.csf.rdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.db_entries.csf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.sgate_entries.csf.cdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.sgate_entries.csf.hdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.sld_design_entry.sci
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.tdb_netlist.csf.tdb
zbt_rd_vhdl_str_v1.0.0\lib\db\top.top.tim_manager.csf.ddb
zbt_rd_vhdl_str_v1.0.0\lib\db\top_cmp.qrpt
zbt_rd_vhdl_str_v1.0.0\lib\db\top_hier_info
zbt_rd_vhdl_str_v1.0.0\lib\db\top_sim.qrpt
zbt_rd_vhdl_str_v1.0.0\lib\db\top_syn_hier_info
zbt_rd_vhdl_str_v1.0.0\lib\debug.fsf
zbt_rd_vhdl_str_v1.0.0\lib\pipe_delay.vhd
zbt_rd_vhdl_str_v1.0.0\lib\pipe_stage.vhd
zbt_rd_vhdl_str_v1.0.0\lib\PLL1.bsf
zbt_rd_vhdl_str_v1.0.0\lib\PLL1.cmp
zbt_rd_vhdl_str_v1.0.0\lib\PLL1.inc
zbt_rd_vhdl_str_v1.0.0\lib\PLL1.vhd
zbt_rd_vhdl_str_v1.0.0\lib\PLL1_inst.vhd
zbt_rd_vhdl_str_v1.0.0\lib\release.fsf
zbt_rd_vhdl_str_v1.0.0\lib\simulation\modelsim\top.vho
zbt_rd_vhdl_str_v1.0.0\lib\simulation\modelsim\top_modelsim.xrf
zbt_rd_vhdl_str_v1.0.0\lib\simulation\modelsim\top_vhd.sdo
zbt_rd_vhdl_str_v1.0.0\lib\top.asm.rpt
zbt_rd_vhdl_str_v1.0.0\lib\top.csf
zbt_rd_vhdl_str_v1.0.0\lib\top.done
zbt_rd_vhdl_str_v1.0.0\lib\top.eco
zbt_rd_vhdl_str_v1.0.0\lib\top.eda.rpt
zbt_rd_vhdl_str_v1.0.0\lib\top.esf
zbt_rd_vhdl_str_v1.0.0\lib\top.fit.eqn
zbt_rd_vhdl_str_v1.0.0\lib\top.fit.rpt
zbt_rd_vhdl_str_v1.0.0\lib\top.map.eqn
zbt_rd_vhdl_str_v1.0.0\lib\top.map.rpt
zbt_rd_vhdl_str_v1.0.0\lib\top.pin
zbt_rd_vhdl_str_v1.0.0\lib\top.pof
zbt_rd_vhdl_str_v1.0.0\lib\top.psf
zbt_rd_vhdl_str_v1.0.0\lib\top.quartus
zbt_rd_vhdl_str_v1.0.0\lib\top.qws
zbt_rd_vhdl_str_v1.0.0\lib\top.sim.rpt
zbt_rd_vhdl_str_v1.0.0\lib\top.sof
zbt_rd_vhdl_str_v1.0.0\lib\top.ssf
zbt_rd_vhdl_str_v1.0.0\lib\top.tan.rpt
zbt_rd_vhdl_str_v1.0.0\lib\top.vhd
zbt_rd_vhdl_str_v1.0.0\lib\top.vwf
zbt_rd_vhdl_str_v1.0.0\lib\transcript
zbt_rd_vhdl_str_v1.0.0\lib\zbt_ctrl_top.vhd
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\conversions.vhd
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\gen_utils.vhd
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\modelsim.ini
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\transcript
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\addr_ctrl_out\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\addr_ctrl_out\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\addr_ctrl_out\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt3pram\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt3pram\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt3pram\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altaccumulate\behaviour.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altaccumulate\behaviour.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altaccumulate\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcam\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcam\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcam\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_rx\struct.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_rx\struct.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_rx\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_tx\struct.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_tx\struct.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_tx\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altclklock\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altclklock\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altclklock\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_bidir\struct.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_bidir\struct.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_bidir\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_in\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_in\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_in\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_out\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_out\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_out\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altdpram\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altdpram\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altdpram\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_common_conversion\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_common_conversion\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_common_conversion\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_common_conversion\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_device_families\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_device_families\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_device_families\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_device_families\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altfp_mult\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altfp_mult\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altfp_mult\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_rx\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_rx\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_rx\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_tx\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_tx\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_tx\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_accum\behaviour.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_accum\behaviour.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_accum\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_add\behaviour.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_add\behaviour.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_add\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altpll\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altpll\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altpll\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altqpram\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altqpram\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altqpram\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altshift_taps\behavioural.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altshift_taps\behavioural.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altshift_taps\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsqrt\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsqrt\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsqrt\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsyncram\translated.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsyncram\translated.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsyncram\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_dpram\behaviour.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_dpram\behaviour.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_dpram\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_upcore\behaviour.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_upcore\behaviour.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_upcore\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\and1\altvital.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\and1\altvital.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\and1\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\atom_pack\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\atom_pack\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\atom_pack\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\atom_pack\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\carry\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\carry\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\carry\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\cascade\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\cascade\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\cascade\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\conversions\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\conversions\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\conversions\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\conversions\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\data_inout\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\data_inout\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\data_inout\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_async\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_async\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_async\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_dffpipe\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_dffpipe\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_dffpipe\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_fefifo\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_fefifo\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_fefifo\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_sync\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_sync\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_sync\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffe\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffe\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffe\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffp\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffp\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffp\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\gen_utils\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\gen_utils\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\gen_utils\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\gen_utils\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\global\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\global\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\global\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_fifo\synchronizer.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_fifo\synchronizer.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_fifo\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_pll\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_pll\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_pll\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_rx\hssi_receiver.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_rx\hssi_receiver.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_rx\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_tx\transmitter.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_tx\transmitter.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_tx\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\idt71v3556\vhdl_behavioral.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\idt71v3556\vhdl_behavioral.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\idt71v3556\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lcell\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lcell\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lcell\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_common_conversion\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_common_conversion\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_common_conversion\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_common_conversion\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_device_families\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_device_families\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_device_families\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_device_families\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_hint_evaluation\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_hint_evaluation\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_hint_evaluation\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_hint_evaluation\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mn_cntr\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mn_cntr\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mn_cntr\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36f\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36f\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36f\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36p\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36p\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36p\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mti_pkg\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mti_pkg\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mti_pkg\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mti_pkg\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mux21\altvital.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mux21\altvital.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mux21\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_delay\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_delay\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_delay\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_stage\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_stage\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_stage\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll1\syn.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll1\syn.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll1\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pllpack\body.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pllpack\body.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pllpack\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pllpack\_vhdl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll_reg\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll_reg\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll_reg\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\ram7x20_syn\hssi_ram7x20_syn.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\ram7x20_syn\hssi_ram7x20_syn.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\ram7x20_syn\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scale_cntr\behave.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scale_cntr\behave.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scale_cntr\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scfifo\behavior.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scfifo\behavior.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scfifo\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_asynch_lcell\vital_le.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_asynch_lcell\vital_le.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_asynch_lcell\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_lcell\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_lcell_register\vital_le_reg.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_lcell_register\vital_le_reg.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_lcell_register\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_pll\vital_pll.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_pll\vital_pll.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_pll\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\top\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\top\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\top\structure.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\top\structure.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\top\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_flow_tb\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_flow_tb\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_flow_tb\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_pipe_tb\rtl.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_pipe_tb\rtl.psm
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_pipe_tb\_primary.dat
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\_info
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\zbt_ctrl_top_flow_tb.do
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\zbt_ctrl_top_pipe_tb.do
zbt_rd_vhdl_str_v1.0.0\sim_lib\idt71v3556.vhd
zbt_rd_vhdl_str_v1.0.0\sim_lib\transcript
zbt_rd_vhdl_str_v1.0.0\sim_lib\zbt_ctrl_top_flow_tb.vhd
zbt_rd_vhdl_str_v1.0.0\sim_lib\zbt_ctrl_top_pipe_tb.vhd
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\addr_ctrl_out
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt3pram
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altaccumulate
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcam
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_rx
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altcdr_tx
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altclklock
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_bidir
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_in
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altddio_out
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altdpram
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_common_conversion
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altera_device_families
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altfp_mult
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_rx
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altlvds_tx
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_accum
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altmult_add
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altpll
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altqpram
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altshift_taps
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsqrt
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\altsyncram
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_dpram
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\alt_exc_upcore
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\and1
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\atom_pack
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\carry
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\cascade
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\conversions
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\data_inout
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_async
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_dffpipe
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_fefifo
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dcfifo_sync
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffe
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\dffp
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\gen_utils
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\global
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_fifo
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_pll
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_rx
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\hssi_tx
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\idt71v3556
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lcell
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_common_conversion
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_device_families
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\lpm_hint_evaluation
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mn_cntr
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36f
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mt55l64l36p
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mti_pkg
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\mux21
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_delay
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pipe_stage
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll1
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pllpack
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\pll_reg
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\ram7x20_syn
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scale_cntr
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\scfifo
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_asynch_lcell
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_lcell
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_lcell_register
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\stratix_pll
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\top
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_flow_tb
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work\zbt_ctrl_top_pipe_tb
zbt_rd_vhdl_str_v1.0.0\lib\simulation\modelsim
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional\work
zbt_rd_vhdl_str_v1.0.0\lib\db
zbt_rd_vhdl_str_v1.0.0\lib\simulation
zbt_rd_vhdl_str_v1.0.0\sim_lib\functional
zbt_rd_vhdl_str_v1.0.0\doc
zbt_rd_vhdl_str_v1.0.0\lib
zbt_rd_vhdl_str_v1.0.0\sim_lib
zbt_rd_vhdl_str_v1.0.0

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org