文件名称:Verilog+130

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 160.01kb
  • 下载次数:
  • 1次
  • 提 供 者:
  • 付*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

verilog例子,有130多个,值得参考,新手很有帮助
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 25811248verilog 130.rar 列表
Verilog 130例\examples.pdf
Verilog 130例\chap9\bidir.v
Verilog 130例\chap9\bidir2.v
Verilog 130例\chap9\code_83.v
Verilog 130例\chap9\decode47.v
Verilog 130例\chap9\decoder_38.v
Verilog 130例\chap9\dff.v
Verilog 130例\chap9\dff1.v
Verilog 130例\chap9\dff2.v
Verilog 130例\chap9\encoder8_3.v
Verilog 130例\chap9\gate1.v
Verilog 130例\chap9\gate2.v
Verilog 130例\chap9\gate3.v
Verilog 130例\chap9\jk_ff.v
Verilog 130例\chap9\johnson.v
Verilog 130例\chap9\latch_1.v
Verilog 130例\chap9\latch_2.v
Verilog 130例\chap9\latch_8.v
Verilog 130例\chap9\mac.v
Verilog 130例\chap9\mac_tp.v
Verilog 130例\chap9\map_lpm_ram.v
Verilog 130例\chap9\mpc.v
Verilog 130例\chap9\mpc_tp.v
Verilog 130例\chap9\mux_case.v
Verilog 130例\chap9\mux_if.v
Verilog 130例\chap9\parity.v
Verilog 130例\chap9\ram256x8.v
Verilog 130例\chap9\reg8.v
Verilog 130例\chap9\rom.v
Verilog 130例\chap9\serial_pal.v
Verilog 130例\chap9\shifter.v
Verilog 130例\chap9\tri_1.v
Verilog 130例\chap9\tri_2.v
Verilog 130例\chap9\updown_count.v
Verilog 130例\chap9
Verilog 130例\chap8\add8_tp.v
Verilog 130例\chap8\carry_udp.v
Verilog 130例\chap8\carry_udpx1.v
Verilog 130例\chap8\carry_udpx2.v
Verilog 130例\chap8\count8_tp.v
Verilog 130例\chap8\delay.v
Verilog 130例\chap8\dff.v
Verilog 130例\chap8\dff_udp.v
Verilog 130例\chap8\latch.v
Verilog 130例\chap8\mult_tp.v
Verilog 130例\chap8\mux31.v
Verilog 130例\chap8\mux_tp.v
Verilog 130例\chap8\random_tp.v
Verilog 130例\chap8\rom.v
Verilog 130例\chap8\test1.v
Verilog 130例\chap8\test2.v
Verilog 130例\chap8\time_dif.v
Verilog 130例\chap8
Verilog 130例\chap7\add4_1.v
Verilog 130例\chap7\add4_2.v
Verilog 130例\chap7\add4_3.v
Verilog 130例\chap7\count4.v
Verilog 130例\chap7\full_add1.v
Verilog 130例\chap7\full_add2.v
Verilog 130例\chap7\full_add3.v
Verilog 130例\chap7\full_add4.v
Verilog 130例\chap7\full_add5.v
Verilog 130例\chap7\half_add1.v
Verilog 130例\chap7\half_add2.v
Verilog 130例\chap7\half_add3.v
Verilog 130例\chap7\half_add4.v
Verilog 130例\chap7\mux2_1a.v
Verilog 130例\chap7\mux2_1b.v
Verilog 130例\chap7\mux2_1c.v
Verilog 130例\chap7\mux4_1a.v
Verilog 130例\chap7\mux4_1b.v
Verilog 130例\chap7\mux4_1c.v
Verilog 130例\chap7\mux4_1d.v
Verilog 130例\chap7
Verilog 130例\chap6\alutask.v
Verilog 130例\chap6\alu_tp.v
Verilog 130例\chap6\code_83.v
Verilog 130例\chap6\count.v
Verilog 130例\chap6\funct.v
Verilog 130例\chap6\funct_tp.v
Verilog 130例\chap6\paral1.v
Verilog 130例\chap6\paral2.v
Verilog 130例\chap6\serial1.v
Verilog 130例\chap6\serial2.v
Verilog 130例\chap6
Verilog 130例\chap5\adder.v
Verilog 130例\chap5\adder16.v
Verilog 130例\chap5\alu.v
Verilog 130例\chap5\block.v
Verilog 130例\chap5\buried_ff.v
Verilog 130例\chap5\compile.v
Verilog 130例\chap5\count.v
Verilog 130例\chap5\count60.v
Verilog 130例\chap5\decode4_7.v
Verilog 130例\chap5\loop1.v
Verilog 130例\chap5\loop2.v
Verilog 130例\chap5\loop3.v
Verilog 130例\chap5\mult_for.v
Verilog 130例\chap5\mult_repeat.v
Verilog 130例\chap5\mux21_1.v
Verilog 130例\chap5\mux21_2.v
Verilog 130例\chap5\mux4_1.v
Verilog 130例\chap5\mux_casez.v
Verilog 130例\chap5\non_block.v
Verilog 130例\chap5\test.v
Verilog 130例\chap5\voter7.v
Verilog 130例\chap5\wave1.v
Verilog 130例\chap5\wave2.v
Verilog 130例\chap5
Verilog 130例\chap3\adder4.acf
Verilog 130例\chap3\adder4.hif
Verilog 130例\chap3\adder4.ndb
Verilog 130例\chap3\adder4.v
Verilog 130例\chap3\adder_tp.v
Verilog 130例\chap3\aoi.v
Verilog 130例\chap3\count4.v
Verilog 130例\chap3\count4_tp.v
Verilog 130例\chap3
Verilog 130例\chap12\add_ahead.v
Verilog 130例\chap12\add_bx.v
Verilog 130例\chap12\add_jl.v
Verilog 130例\chap12\add_tree.v
Verilog 130例\chap12\correlator.v
Verilog 130例\chap12\crc.v
Verilog 130例\chap12\cycle.v
Verilog 130例\chap12\decoder1.v
Verilog 130例\chap12\decoder2.v
Verilog 130例\chap12\fir.v
Verilog 130例\chap12\linear.v
Verilog 130例\chap12\mult.v
Verilog 130例\chap12\mult4x4.v
Verilog 130例\chap12
Verilog 130例\chap11\account.v
Verilog 130例\chap11\clock.v
Verilog 130例\chap11\count10.v
Verilog 130例\chap11\fre_ctrl.v
Verilog 130例\chap11\latch_16.v
Verilog 130例\chap11\paobiao.v
Verilog 130例\chap11\sell.v
Verilog 130例\chap11\song.v
Verilog 130例\chap11\traffic.v
Verilog 130例\chap11
Verilog 130例\chap10\acc.acf
Verilog 130例\chap10\acc.hif
Verilog 130例\chap10\acc.v
Verilog 130例\chap10\accn.v
Verilog 130例\chap10\add8.v
Verilog 130例\chap10\adder8.v
Verilog 130例\chap10\block1.v
Verilog 130例\chap10\block2.v
Verilog 130例\chap10\block3.v
Verilog 130例\chap10\block4.v
Verilog 130例\chap10\control.v
Verilog 130例\chap10\fsm.v
Verilog 130例\chap10\longframe1.v
Verilog 130例\chap10\longframe2.v
Verilog 130例\chap10\pipeline.v
Verilog 130例\chap10\reg8.v
Verilog 130例\chap10\resource1.v
Verilog 130例\chap10\resource2.v
Verilog 130例\chap10
Verilog 130例

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org