文件名称:Verification_E_language_Motor_Controller

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 1021.45kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 郑*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

关于一个Motor Controller示例的E语言验证程序!
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 109201239verification_e_language_motor_controller.rar 列表
source
source\e
source\e\lab_mc_cover.e
source\e\lab_mc_driver.e
source\e\lab_mc_env.e
source\e\lab_mc_monitor.e
source\e\lab_mc_test.e
source\e\lab_mc_test2.e
source\e\lab_mc_test3.e
source\e\lab_mc_test_dn.e
source\e\lab_mc_test_original.e
source\lab_cover_new.e
source\lab_mc_cover_1.e
source\lab_mc_cover_2.e
source\lab_mc_cover_3.e
source\lab_mc_driver.e
source\lab_mc_driver2.e
source\lab_mc_driver_2.e
source\lab_mc_monitor_1.e
source\lab_mc_monitor_2.e
source\lab_mc_monitor_3.e
source\lab_mc_monitor_5.e
source\vhdl
source\vhdl\bug_lab_mc-rtl-a_1.vhd
source\vhdl\rtl
source\vhdl\rtl\bug_lab_mc-e.vhd
source\vhdl\rtl\bug_lab_mc-rtl-a.vhd
source\vhdl\rtl\bug_lab_mc-rtl-conf-c.vhd
source\vhdl\tb
source\vhdl\tb\lab_mc_sn-e.vhd
source\vhdl\tb\lab_mc_sn-rtl-a.vhd
source\vhdl\tb\lab_mc_sn-rtl-conf-c.vhd
source\vhdl\tb\specman_mc_nc.vhd
Motor Controller Verification Specification v1.1.doc
Motor Controller vPlan v1.5.doc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org