文件名称:sine

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 3.4mb
  • 下载次数:
  • 1次
  • 提 供 者:
  • 孙*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

用VerilogHDL实现的产生Sine波形全部程序

个人验证后收藏的。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 21840296sine.rar 列表
sine\altera_mf.v
sine\cycloneii_atoms.v
sine\db\ram0_rom16x7_5ff456ad.hdl.mif
sine\db\sine.(0).cnf.cdb
sine\db\sine.(0).cnf.hdb
sine\db\sine.(1).cnf.cdb
sine\db\sine.(1).cnf.hdb
sine\db\sine.asm.qmsg
sine\db\sine.asm_labs.ddb
sine\db\sine.cbx.xml
sine\db\sine.cmp.bpm
sine\db\sine.cmp.cdb
sine\db\sine.cmp.ecobp
sine\db\sine.cmp.hdb
sine\db\sine.cmp.logdb
sine\db\sine.cmp.rdb
sine\db\sine.cmp.tdb
sine\db\sine.cmp0.ddb
sine\db\sine.cmp_bb.cdb
sine\db\sine.cmp_bb.hdb
sine\db\sine.cmp_bb.logdb
sine\db\sine.cmp_bb.rcf
sine\db\sine.dbp
sine\db\sine.db_info
sine\db\sine.eco.cdb
sine\db\sine.eda.qmsg
sine\db\sine.fit.qmsg
sine\db\sine.hier_info
sine\db\sine.hif
sine\db\sine.map.bpm
sine\db\sine.map.cdb
sine\db\sine.map.ecobp
sine\db\sine.map.hdb
sine\db\sine.map.logdb
sine\db\sine.map.qmsg
sine\db\sine.map_bb.cdb
sine\db\sine.map_bb.hdb
sine\db\sine.map_bb.logdb
sine\db\sine.pre_map.cdb
sine\db\sine.pre_map.hdb
sine\db\sine.psp
sine\db\sine.pss
sine\db\sine.rtlv.hdb
sine\db\sine.rtlv_sg.cdb
sine\db\sine.rtlv_sg_swap.cdb
sine\db\sine.sgdiff.cdb
sine\db\sine.sgdiff.hdb
sine\db\sine.signalprobe.cdb
sine\db\sine.sld_design_entry.sci
sine\db\sine.sld_design_entry_dsc.sci
sine\db\sine.syn_hier_info
sine\db\sine.tan.qmsg
sine\db\sine.tis_db_list.ddb
sine\db
sine\ROM.DAT
sine\rom16x7.v
sine\simulation\modelsim\sine.vo
sine\simulation\modelsim\sine_modelsim.xrf
sine\simulation\modelsim\sine_v.sdo
sine\simulation\modelsim
sine\simulation
sine\sine.asm.rpt
sine\sine.done
sine\sine.eda.rpt
sine\sine.fit.rpt
sine\sine.fit.smsg
sine\sine.fit.summary
sine\sine.flow.rpt
sine\sine.map.rpt
sine\sine.map.summary
sine\sine.pin
sine\sine.pof
sine\sine.qpf
sine\sine.qsf
sine\sine.qws
sine\sine.sof
sine\sine.tan.rpt
sine\sine.tan.summary
sine\sine.v
sine\sine.vo
sine\sinetest.v
sine\sine_test\altera_mf.v
sine\sine_test\cycloneii_atoms.v
sine\sine_test\sine.cr.mti
sine\sine_test\sine.mpf
sine\sine_test\sine.vo
sine\sine_test\sinetest.v
sine\sine_test\sinetest.v.bak
sine\sine_test\sine_v.sdo
sine\sine_test\vsim.wlf
sine\sine_test\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\verilog.asm
sine\sine_test\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\_primary.dat
sine\sine_test\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\_primary.vhd
sine\sine_test\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\verilog.asm
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\_primary.dat
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\_primary.vhd
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\verilog.asm
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\_primary.dat
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\_primary.vhd
sine\sine_test\work\@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
sine\sine_test\work\@c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e\verilog.asm
sine\sine_test\work\@c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e\_primary.dat
sine\sine_test\work\@c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e\_primary.vhd
sine\sine_test\work\@c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e
sine\sine_test\work\@m@f_cycloneiii_pll\verilog.asm
sine\sine_test\work\@m@f_cycloneiii_pll\_primary.dat
sine\sine_test\work\@m@f_cycloneiii_pll\_primary.vhd
sine\sine_test\work\@m@f_cycloneiii_pll
sine\sine_test\work\@m@f_pll_reg\verilog.asm
sine\sine_test\work\@m@f_pll_reg\_primary.dat
sine\sine_test\work\@m@f_pll_reg\_primary.vhd
sine\sine_test\work\@m@f_pll_reg
sine\sine_test\work\@m@f_ram7x20_syn\verilog.asm
sine\sine_test\work\@m@f_ram7x20_syn\_primary.dat
sine\sine_test\work\@m@f_ram7x20_syn\_primary.vhd
sine\sine_test\work\@m@f_ram7x20_syn
sine\sine_test\work\@m@f_stratixiii_pll\verilog.asm
sine\sine_test\work\@m@f_stratixiii_pll\_primary.dat
sine\sine_test\work\@m@f_stratixiii_pll\_primary.vhd
sine\sine_test\work\@m@f_stratixiii_pll
sine\sine_test\work\@m@f_stratixii_pll\verilog.asm
sine\sine_test\work\@m@f_stratixii_pll\_primary.dat
sine\sine_test\work\@m@f_stratixii_pll\_primary.vhd
sine\sine_test\work\@m@f_stratixii_pll
sine\sine_test\work\@m@f_stratix_pll\verilog.asm
sine\sine_test\work\@m@f_stratix_pll\_primary.dat
sine\sine_test\work\@m@f_stratix_pll\_primary.vhd
sine\sine_test\work\@m@f_stratix_pll
sine\sine_test\work\alt3pram\verilog.asm
sine\sine_test\work\alt3pram\_primary.dat
sine\sine_test\work\alt3pram\_primary.vhd
sine\sine_test\work\alt3pram
sine\sine_test\work\altaccumulate\verilog.asm
sine\sine_test\work\altaccumulate\_primary.dat
sine\sine_test\work\altaccumulate\_primary.vhd
sine\sine_test\work\altaccumulate
sine\sine_test\work\altcam\verilog.asm
sine\sine_test\work\altcam\_primary.dat
sine\sine_test\work\altcam\_primary.vhd
sine\sine_test\work\altcam
sine\sine_test\work\altcdr_rx\verilog.asm
sine\sine_test\work\altcdr_rx\_primary.dat
sine\sine_test\work\altcdr_rx\_primary.vhd
sine\sine_test\work\altcdr_rx
sine\sine_test\work\altcdr_tx\verilog.asm
sine\sine_test\work\altcdr_tx\_primary.dat
sine\sine_test\work\altcdr_tx\_primary.vhd
sine\sine_test\work\altcdr_tx
sine\sine_test\work\altclklock\verilog.asm
sine\sine_test\work\altclklock\_primary.dat
sine\sine_test\work\altclklock\_primary.vhd
sine\sine_test\work\altclklock
sine\sine_test\work\altddio_bidir\verilog.asm
sine\sine_test\work\altddio_bidir\_primary.dat
sine\sine_test\work\altddio_bidir\_primary.vhd
sine\sine_test\work\altddio_bidir
sine\sine_test\work\altddio_in\verilog.asm
sine\sine_test\work\altddio_in\_primary.dat
sine\sine_test\work\altddio_in\_primary.vhd
sine\sine_test\work\altddio_in
sine\sine_test\work\altddio_out\verilog.asm
sine\sine_test\work\altddio_out\_primary.dat
sine\sine_test\work\altddio_out\_primary.vhd
sine\sine_test\work\altddio_out
sine\sine_test\work\altdpram\verilog.asm
sine\sine_test\work\altdpram\_primary.dat
sine\sine_test\work\altdpram\_primary.vhd
sine\sine_test\work\altdpram
sine\sine_test\work\altfp_mult\verilog.asm
sine\sine_test\work\altfp_mult\_primary.dat
sine\sine_test\work\altfp_mult\_primary.vhd
sine\sine_test\work\altfp_mult
sine\sine_test\work\altlvds_rx\verilog.asm
sine\sine_test\work\altlvds_rx\_primary.dat
sine\sine_test\work\altlvds_rx\_primary.vhd
sine\sine_test\work\altlvds_rx
sine\sine_test\work\altlvds_tx\verilog.asm
sine\sine_test\work\altlvds_tx\_primary.dat
sine\sine_test\work\altlvds_tx\_primary.vhd
sine\sine_test\work\altlvds_tx
sine\sine_test\work\altmult_accum\verilog.asm
sine\sine_test\work\altmult_accum\_primary.dat
sine\sine_test\work\altmult_accum\_primary.vhd
sine\sine_test\work\altmult_accum
sine\sine_test\work\altmult_add\verilog.asm
sine\sine_test\work\altmult_add\_primary.dat
sine\sine_test\work\altmult_add\_primary.vhd
sine\sine_test\work\altmult_add
sine\sine_test\work\altparallel_flash_loader\verilog.asm
sine\sine_test\work\altparallel_flash_loader\_primary.dat
sine\sine_test\work\altparallel_flash_loader\_primary.vhd
sine\sine_test\work\altparallel_flash_loader
sine\sine_test\work\altpll\verilog.asm
sine\sine_test\work\altpll\_primary.dat
sine\sine_test\work\altpll\_primary.vhd
sine\sine_test\work\altpll
sine\sine_test\work\altqpram\verilog.asm
sine\sine_test\work\altqpram\_primary.dat
sine\sine_test\work\altqpram\_primary.vhd
sine\sine_test\work\altqpram
sine\sine_test\work\altserial_flash_loader\verilog.asm
sine\sine_test\work\altserial_flash_loader\_primary.dat
sine\sine_test\work\altserial_flash_loader\_primary.vhd
sine\sine_test\work\altserial_flash_loader
sine\sine_test\work\altshift_taps\verilog.asm
sine\sine_test\work\altshift_taps\_primary.dat
sine\sine_test\work\altshift_taps\_primary.vhd
sine\sine_test\work\altshift_taps
sine\sine_test\work\altsqrt\verilog.asm
sine\sine_test\work\altsqrt\_primary.dat
sine\sine_test\work\altsqrt\_primary.vhd
sine\sine_test\work\altsqrt
sine\sine_test\work\altsquare\verilog.asm
sine\sine_test\work\altsquare\_primary.dat
sine\sine_test\work\altsquare\_primary.vhd
sine\sine_test\work\altsquare
sine\sine_test\work\altstratixii_oct\verilog.asm
sine\sine_test\work\altstratixii_oct\_primary.dat
sine\sine_test\work\altstratixii_oct\_primary.vhd
sine\sine_test\work\altstratixii_oct
sine\sine_test\work\altsyncram\verilog.asm
sine\sine_test\work\altsyncram\_primary.dat
sine\sine_test\work\altsyncram\_primary.vhd
sine\sine_test\work\altsyncram
sine\sine_test\work\arm_m_cntr\verilog.asm
sine\sine_test\work\arm_m_cntr\_primary.dat
sine\sine_test\work\arm_m_cntr\_primary.vhd
sine\sine_test\work\arm_m_cntr
sine\sine_test\work\arm_n_cntr\verilog.asm
sine\sine_test\work\arm_n_cntr\_primary.dat
sine\sine_test\work\arm_n_cntr\_primary.vhd
sine\sine_test\work\arm_n_cntr
sine\sine_test\work\arm_scale_cntr\verilog.asm
sine\sine_test\work\arm_scale_cntr\_primary.dat
sine\sine_test\work\arm_scale_cntr\_primary.vhd
sine\sine_test\work\arm_scale_cntr
sine\sine_test\work\a_graycounter\verilog.asm
sine\sine_test\work\a_graycounter\_primary.dat
sine\sine_test\work\a_graycounter\_primary.vhd
sine\sine_test\work\a_graycounter
sine\sine_test\work\cda_m_cntr\verilog.asm
sine\sine_test\work\cda_m_cntr\_primary.dat
sine\sine_test\work\cda_m_cntr\_primary.vhd
sine\sine_test\work\cda_m_cntr
sine\sine_test\work\cda_n_cntr\verilog.asm
sine\sine_test\work\cda_n_cntr\_primary.dat
sine\sine_test\work\cda_n_cntr\_primary.vhd
sine\sine_test\work\cda_n_cntr
sine\sine_test\work\cda_scale_cntr\verilog.asm
sine\sine_test\work\cda_scale_cntr\_primary.dat
sine\sine_test\work\cda_scale_cntr\_primary.vhd
sine\sine_test\work\cda_scale_cntr
sine\sine_test\work\cycloneii_and1\verilog.asm
sine\sine_test\work\cycloneii_and1\_primary.dat
sine\sine_test\work\cycloneii_and1\_primary.vhd
sine\sine_test\work\cycloneii_and1
sine\sine_test\work\cycloneii_and16\verilog.asm
sine\sine_test\work\cycloneii_and16\_primary.dat
sine\sine_test\work\cycloneii_and16\_primary.vhd
sine\sine_test\work\cycloneii_and16
sine\sine_test\work\cycloneii_asmiblock\verilog.asm
sine\sine_test\work\cycloneii_asmiblock\_primary.dat
sine\sine_test\work\cycloneii_asmiblock\_primary.vhd
sine\sine_test\work\cycloneii_asmiblock
sine\sine_test\work\cycloneii_asynch_io\verilog.asm
sine\sine_test\work\cycloneii_asynch_io\_primary.dat
sine\sine_test\work\cycloneii_asynch_io\_primary.vhd
sine\sine_test\work\cycloneii_asynch_io
sine\sine_test\work\cycloneii_b17mux21\verilog.asm
sine\sine_test\work\cycloneii_b17mux21\_primary.dat
sine\sine_test\work\cycloneii_b17mux21\_primary.vhd
sine\sine_test\work\cycloneii_b17mux21
sine\sine_test\work\cycloneii_b5mux21\verilog.asm
sine\sine_test\work\cycloneii_b5mux21\_primary.dat
sine\sine_test\work\cycloneii_b5mux21\_primary.vhd
sine\sine_test\work\cycloneii_b5mux21
sine\sine_test\work\cycloneii_bmux21\verilog.asm
sine\sine_test\work\cycloneii_bmux21\_primary.dat
sine\sine_test\work\cycloneii_bmux21\_primary.vhd
sine\sine_test\work\cycloneii_bmux21
sine\sine_test\work\cycloneii_clkctrl\verilog.asm
sine\sine_test\work\cycloneii_clkctrl\_primary.dat
sine\sine_test\work\cycloneii_clkctrl\_primary.vhd
sine\sine_test\work\cycloneii_clkctrl
sine\sine_test\work\cycloneii_clk_delay_cal_ctrl\verilog.asm
sine\sine_test\work\cycloneii_clk_delay_cal_ctrl\_primary.dat
sine\sine_test\work\cycloneii_clk_delay_cal_ctrl\_primary.vhd
sine\sine_test\work\cycloneii_clk_delay_cal_ctrl
sine\sine_test\work\cycloneii_clk_delay_ctrl\verilog.asm
sine\sine_test\work\cycloneii_clk_delay_ctrl\_primary.dat
sine\sine_test\work\cycloneii_clk_delay_ctrl\_primary.vhd
sine\sine_test\work\cycloneii_clk_delay_ctrl
sine\sine_test\work\cycloneii_crcblock\verilog.asm
sine\sine_test\work\cycloneii_crcblock\_primary.dat
sine\sine_test\work\cycloneii_crcblock\_primary.vhd
sine\sine_test\work\cycloneii_crcblock
sine\sine_test\work\cycloneii_dffe\verilog.asm
sine\sine_test\work\cycloneii_dffe\_primary.dat
sine\sine_test\work\cycloneii_dffe\_primary.vhd
sine\sine_test\work\cycloneii_dffe
sine\sine_test\work\cycloneii_ena_reg\verilog.asm
sine\sine_test\work\cycloneii_ena_reg\_primary.dat
sine\sine_test\work\cycloneii_ena_reg\_primary.vhd
sine\sine_test\work\cycloneii_ena_reg
sine\sine_test\work\cycloneii_io\verilog.asm
sine\sine_test\work\cycloneii_io\_primary.dat
sine\sine_test\work\cycloneii_io\_primary.vhd
sine\sine_test\work\cycloneii_io
sine\sine_test\work\cycloneii_jtag\verilog.asm
sine\sine_test\work\cycloneii_jtag\_primary.dat
sine\sine_test\work\cycloneii_jtag\_primary.vhd
sine\sine_test\work\cycloneii_jtag
sine\sine_test\work\cycloneii_latch\verilog.asm
sine\sine_test\work\cycloneii_latch\_primary.dat
sine\sine_test\work\cycloneii_latch\_primary.vhd
sine\sine_test\work\cycloneii_latch
sine\sine_test\work\cycloneii_lcell_comb\verilog.asm
sine\sine_test\work\cycloneii_lcell_comb\_primary.dat
sine\sine_test\work\cycloneii_lcell_comb\_primary.vhd
sine\sine_test\work\cycloneii_lcell_comb
sine\sine_test\work\cycloneii_lcell_ff\verilog.asm
sine\sine_test\work\cycloneii_lcell_ff\_primary.dat
sine\sine_test\work\cycloneii_lcell_ff\_primary.vhd
sine\sine_test\work\cycloneii_lcell_ff
sine\sine_test\work\cycloneii_mac_data_reg\verilog.asm
sine\sine_test\work\cycloneii_mac_data_reg\_primary.dat
sine\sine_test\work\cycloneii_mac_data_reg\_primary.vhd
sine\sine_test\work\cycloneii_mac_data_reg
sine\sine_test\work\cycloneii_mac_mult\verilog.asm
sine\sine_test\work\cycloneii_mac_mult\_primary.dat
sine\sine_test\work\cycloneii_mac_mult\_primary.vhd
sine\sine_test\work\cycloneii_mac_mult
sine\sine_test\work\cycloneii_mac_mult_internal\verilog.asm
sine\sine_test\work\cycloneii_mac_mult_internal\_primary.dat
sine\sine_test\work\cycloneii_mac_mult_internal\_primary.vhd
sine\sine_test\work\cycloneii_mac_mult_internal
sine\sine_test\work\cycloneii_mac_out\verilog.asm
sine\sine_test\work\cycloneii_mac_out\_primary.dat
sine\sine_test\work\cycloneii_mac_out\_primary.vhd
sine\sine_test\work\cycloneii_mac_out
sine\sine_test\work\cycloneii_mac_sign_reg\verilog.asm
sine\sine_test\work\cycloneii_mac_sign_reg\_primary.dat
sine\sine_test\work\cycloneii_mac_sign_reg\_primary.vhd
sine\sine_test\work\cycloneii_mac_sign_reg
sine\sine_test\work\cycloneii_mux21\verilog.asm
sine\sine_test\work\cycloneii_mux21\_primary.dat
sine\sine_test\work\cycloneii_mux21\_primary.vhd
sine\sine_test\work\cycloneii_mux21
sine\sine_test\work\cycloneii_mux41\verilog.asm
sine\sine_test\work\cycloneii_mux41\_primary.dat
sine\sine_test\work\cycloneii_mux41\_primary.vhd
sine\sine_test\work\cycloneii_mux41
sine\sine_test\work\cycloneii_m_cntr\verilog.asm
sine\sine_test\work\cycloneii_m_cntr\_primary.dat
sine\sine_test\work\cycloneii_m_cntr\_primary.vhd
sine\sine_test\work\cycloneii_m_cntr
sine\sine_test\work\cycloneii_nmux21\verilog.asm
sine\sine_test\work\cycloneii_nmux21\_primary.dat
sine\sine_test\work\cycloneii_nmux21\_primary.vhd
sine\sine_test\work\cycloneii_nmux21
sine\sine_test\work\cycloneii_n_cntr\verilog.asm
sine\sine_test\work\cycloneii_n_cntr\_primary.dat
sine\sine_test\work\cycloneii_n_cntr\_primary.vhd
sine\sine_test\work\cycloneii_n_cntr
sine\sine_test\work\cycloneii_pll\verilog.asm
sine\sine_test\work\cycloneii_pll\_primary.dat
sine\sine_test\work\cycloneii_pll\_primary.vhd
sine\sine_test\work\cycloneii_pll
sine\sine_test\work\cycloneii_pll_reg\verilog.asm
sine\sine_test\work\cycloneii_pll_reg\_primary.dat
sine\sine_test\work\cycloneii_pll_reg\_primary.vhd
sine\sine_test\work\cycloneii_pll_reg
sine\sine_test\work\cycloneii_ram_block\verilog.asm
sine\sine_test\work\cycloneii_ram_block\_primary.dat
sine\sine_test\work\cycloneii_ram_block\_primary.vhd
sine\sine_test\work\cycloneii_ram_block
sine\sine_test\work\cycloneii_ram_pulse_generator\verilog.asm
sine\sine_test\work\cycloneii_ram_pulse_generator\_primary.dat
sine\sine_test\work\cycloneii_ram_pulse_generator\_primary.vhd
sine\sine_test\work\cycloneii_ram_pulse_generator
sine\sine_test\work\cycloneii_ram_register\verilog.asm
sine\sine_test\work\cycloneii_ram_register\_primary.dat
sine\sine_test\work\cycloneii_ram_register\_primary.vhd
sine\sine_test\work\cycloneii_ram_register
sine\sine_test\work\cycloneii_routing_wire\verilog.asm
sine\sine_test\work\cycloneii_routing_wire\_primary.dat
sine\sine_test\work\cycloneii_routing_wire\_primary.vhd
sine\sine_test\work\cycloneii_routing_wire
sine\sine_test\work\cycloneii_scale_cntr\verilog.asm
sine\sine_test\work\cycloneii_scale_cntr\_primary.dat
sine\sine_test\work\cycloneii_scale_cntr\_primary.vhd
sine\sine_test\work\cycloneii_scale_cntr
sine\sine_test\work\dcfifo\verilog.asm
sine\sine_test\work\dcfifo\_primary.dat
sine\sine_test\work\dcfifo\_primary.vhd
sine\sine_test\work\dcfifo
sine\sine_test\work\dcfifo_async\verilog.asm
sine\sine_test\work\dcfifo_async\_primary.dat
sine\sine_test\work\dcfifo_async\_primary.vhd
sine\sine_test\work\dcfifo_async
sine\sine_test\work\dcfifo_dffpipe\verilog.asm
sine\sine_test\work\dcfifo_dffpipe\_primary.dat
sine\sine_test\work\dcfifo_dffpipe\_primary.vhd
sine\sine_test\work\dcfifo_dffpipe
sine\sine_test\work\dcfifo_fefifo\verilog.asm
sine\sine_test\work\dcfifo_fefifo\_primary.dat
sine\sine_test\work\dcfifo_fefifo\_primary.vhd
sine\sine_test\work\dcfifo_fefifo
sine\sine_test\work\dcfifo_low_latency\verilog.asm
sine\sine_test\work\dcfifo_low_latency\_primary.dat
sine\sine_test\work\dcfifo_low_latency\_primary.vhd
sine\sine_test\work\dcfifo_low_latency
sine\sine_test\work\dcfifo_mixed_widths\verilog.asm
sine\sine_test\work\dcfifo_mixed_widths\_primary.dat
sine\sine_test\work\dcfifo_mixed_widths\_primary.vhd
sine\sine_test\work\dcfifo_mixed_widths
sine\sine_test\work\dcfifo_sync\verilog.asm
sine\sine_test\work\dcfifo_sync\_primary.dat
sine\sine_test\work\dcfifo_sync\_primary.vhd
sine\sine_test\work\dcfifo_sync
sine\sine_test\work\dffp\verilog.asm
sine\sine_test\work\dffp\_primary.dat
sine\sine_test\work\dffp\_primary.vhd
sine\sine_test\work\dffp
sine\sine_test\work\dummy_hub\verilog.asm
sine\sine_test\work\dummy_hub\_primary.dat
sine\sine_test\work\dummy_hub\_primary.vhd
sine\sine_test\work\dummy_hub
sine\sine_test\work\flexible_lvds_rx\verilog.asm
sine\sine_test\work\flexible_lvds_rx\_primary.dat
sine\sine_test\work\flexible_lvds_rx\_primary.vhd
sine\sine_test\work\flexible_lvds_rx
sine\sine_test\work\flexible_lvds_tx\verilog.asm
sine\sine_test\work\flexible_lvds_tx\_primary.dat
sine\sine_test\work\flexible_lvds_tx\_primary.vhd
sine\sine_test\work\flexible_lvds_tx
sine\sine_test\work\hssi_fifo\verilog.asm
sine\sine_test\work\hssi_fifo\_primary.dat
sine\sine_test\work\hssi_fifo\_primary.vhd
sine\sine_test\work\hssi_fifo
sine\sine_test\work\hssi_pll\verilog.asm
sine\sine_test\work\hssi_pll\_primary.dat
sine\sine_test\work\hssi_pll\_primary.vhd
sine\sine_test\work\hssi_pll
sine\sine_test\work\hssi_rx\verilog.asm
sine\sine_test\work\hssi_rx\_primary.dat
sine\sine_test\work\hssi_rx\_primary.vhd
sine\sine_test\work\hssi_rx
sine\sine_test\work\hssi_tx\verilog.asm
sine\sine_test\work\hssi_tx\_primary.dat
sine\sine_test\work\hssi_tx\_primary.vhd
sine\sine_test\work\hssi_tx
sine\sine_test\work\jtag_tap_controller\verilog.asm
sine\sine_test\work\jtag_tap_controller\_primary.dat
sine\sine_test\work\jtag_tap_controller\_primary.vhd
sine\sine_test\work\jtag_tap_controller
sine\sine_test\work\lcell\verilog.asm
sine\sine_test\work\lcell\_primary.dat
sine\sine_test\work\lcell\_primary.vhd
sine\sine_test\work\lcell
sine\sine_test\work\parallel_add\verilog.asm
sine\sine_test\work\parallel_add\_primary.dat
sine\sine_test\work\parallel_add\_primary.vhd
sine\sine_test\work\parallel_add
sine\sine_test\work\pll_iobuf\verilog.asm
sine\sine_test\work\pll_iobuf\_primary.dat
sine\sine_test\work\pll_iobuf\_primary.vhd
sine\sine_test\work\pll_iobuf
sine\sine_test\work\scfifo\verilog.asm
sine\sine_test\work\scfifo\_primary.dat
sine\sine_test\work\scfifo\_primary.vhd
sine\sine_test\work\scfifo
sine\sine_test\work\signal_gen\verilog.asm
sine\sine_test\work\signal_gen\_primary.dat
sine\sine_test\work\signal_gen\_primary.vhd
sine\sine_test\work\signal_gen
sine\sine_test\work\sine\verilog.asm
sine\sine_test\work\sine\_primary.dat
sine\sine_test\work\sine\_primary.vhd
sine\sine_test\work\sine
sine\sine_test\work\sinetest\verilog.asm
sine\sine_test\work\sinetest\_primary.dat
sine\sine_test\work\sinetest\_primary.vhd
sine\sine_test\work\sinetest
sine\sine_test\work\sld_signaltap\verilog.asm
sine\sine_test\work\sld_signaltap\_primary.dat
sine\sine_test\work\sld_signaltap\_primary.vhd
sine\sine_test\work\sld_signaltap
sine\sine_test\work\sld_virtual_jtag\verilog.asm
sine\sine_test\work\sld_virtual_jtag\_primary.dat
sine\sine_test\work\sld_virtual_jtag\_primary.vhd
sine\sine_test\work\sld_virtual_jtag
sine\sine_test\work\stratixgx_dpa_lvds_rx\verilog.asm
sine\sine_test\work\stratixgx_dpa_lvds_rx\_primary.dat
sine\sine_test\work\stratixgx_dpa_lvds_rx\_primary.vhd
sine\sine_test\work\stratixgx_dpa_lvds_rx
sine\sine_test\work\stratixiii_lvds_rx\verilog.asm
sine\sine_test\work\stratixiii_lvds_rx\_primary.dat
sine\sine_test\work\stratixiii_lvds_rx\_primary.vhd
sine\sine_test\work\stratixiii_lvds_rx
sine\sine_test\work\stratixiii_lvds_rx_channel\verilog.asm
sine\sine_test\work\stratixiii_lvds_rx_channel\_primary.dat
sine\sine_test\work\stratixiii_lvds_rx_channel\_primary.vhd
sine\sine_test\work\stratixiii_lvds_rx_channel
sine\sine_test\work\stratixiii_lvds_rx_dpa\verilog.asm
sine\sine_test\work\stratixiii_lvds_rx_dpa\_primary.dat
sine\sine_test\work\stratixiii_lvds_rx_dpa\_primary.vhd
sine\sine_test\work\stratixiii_lvds_rx_dpa
sine\sine_test\work\stratixii_lvds_rx\verilog.asm
sine\sine_test\work\stratixii_lvds_rx\_primary.dat
sine\sine_test\work\stratixii_lvds_rx\_primary.vhd
sine\sine_test\work\stratixii_lvds_rx
sine\sine_test\work\stratixii_tx_outclk\verilog.asm
sine\sine_test\work\stratixii_tx_outclk\_primary.dat
sine\sine_test\work\stratixii_tx_outclk\_primary.vhd
sine\sine_test\work\stratixii_tx_outclk
sine\sine_test\work\stratix_lvds_rx\verilog.asm
sine\sine_test\work\stratix_lvds_rx\_primary.dat
sine\sine_test\work\stratix_lvds_rx\_primary.vhd
sine\sine_test\work\stratix_lvds_rx
sine\sine_test\work\stratix_tx_outclk\verilog.asm
sine\sine_test\work\stratix_tx_outclk\_primary.dat
sine\sine_test\work\stratix_tx_outclk\_primary.vhd
sine\sine_test\work\stratix_tx_outclk
sine\sine_test\work\stx_m_cntr\verilog.asm
sine\sine_test\work\stx_m_cntr\_primary.dat
sine\sine_test\work\stx_m_cntr\_primary.vhd
sine\sine_test\work\stx_m_cntr
sine\sine_test\work\stx_n_cntr\verilog.asm
sine\sine_test\work\stx_n_cntr\_primary.dat
sine\sine_test\work\stx_n_cntr\_primary.vhd
sine\sine_test\work\stx_n_cntr
sine\sine_test\work\stx_scale_cntr\verilog.asm
sine\sine_test\work\stx_scale_cntr\_primary.dat
sine\sine_test\work\stx_scale_cntr\_primary.vhd
sine\sine_test\work\stx_scale_cntr
sine\sine_test\work\ttn_m_cntr\verilog.asm
sine\sine_test\work\ttn_m_cntr\_primary.dat
sine\sine_test\work\ttn_m_cntr\_primary.vhd
sine\sine_test\work\ttn_m_cntr
sine\sine_test\work\ttn_n_cntr\verilog.asm
sine\sine_test\work\ttn_n_cntr\_primary.dat
sine\sine_test\work\ttn_n_cntr\_primary.vhd
sine\sine_test\work\ttn_n_cntr
sine\sine_test\work\ttn_scale_cntr\verilog.asm
sine\sine_test\work\ttn_scale_cntr\_primary.dat
sine\sine_test\work\ttn_scale_cntr\_primary.vhd
sine\sine_test\work\ttn_scale_cntr
sine\sine_test\work\_info
sine\sine_test\work
sine\sine_test
sine

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org