文件名称:VHDLcodes

  • 所属分类:
  • 其他小程序
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 17.5mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • x**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

some easy vhdl source codes. for starters
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VHDL参考资料\log.txt

............\McGraw.Hill.VHDL.Programming.by.Example.4th.Ed.pdf

............\Verilog HDL硬件描述语言\01.PDF

............\.......................\02.PDF

............\.......................\03.PDF

............\.......................\04.PDF

............\.......................\05.PDF

............\.......................\06.PDF

............\.......................\07.PDF

............\.......................\08.PDF

............\.......................\09.PDF

............\.......................\10.PDF

............\.......................\11.PDF

............\.......................\12.PDF

............\.......................\13.PDF

............\.......................\内容简介.htm

............\.......................\封面小图.gif

............\.......................\目录.txt

............\.......................\简评.htm

............\VHDL Programming by Example(McGraw.Hill著).PDF

............\VHDL 语言.ppt

............\....参考手册(Doulos公司)\Autorun.inf

............\..........................\copyright.txt

............\..........................\README.UNIX

............\..........................\Readme_dos.txt

............\..........................\setup.da_

............\..........................\SETUP.EXE

............\..........................\setup.op_

............\..........................\vhdl.tar.gz

............\VHDL参考手册(Doulos公司).pdf

............\VHDL参考手册(Synario公司).PDF

............\VHDL培训教材.pdf

............\....多媒体式教学资料(aldec公司)\EVITA_2.EXE

............\................................\XTRAS\A3SREAD.X32

............\................................\.....\ACTIVEX.X32

............\................................\.....\ACTXPRIV.X32

............\................................\.....\AIFFREAD.X32

............\................................\.....\BMPVIEW.X32

............\................................\.....\COVERIN.X32

............\................................\.....\COVEROUT.X32

............\................................\.....\CROSSIN.X32

............\................................\.....\DIRTRANS.X32

............\................................\.....\EMFVIEW.X32

............\................................\.....\FILEIO.X32

............\................................\.....\GIFIMP.X32

............\................................\.....\IMA4DCMP.X32

............\................................\.....\INETURL.X32

............\................................\.....\JPEGIMP.X32

............\................................\.....\LRGIMP.X32

............\................................\.....\MACEDCMP.X32

............\................................\.....\MIX32.X32

............\................................\.....\MIXVIEW.X32

............\................................\.....\PCMREAD.X32

............\................................\.....\PICTVIEW.X32

............\................................\.....\PNGIMP.X32

............\................................\.....\PS3IMP.X32

............\................................\.....\SWACNVRT.X32

............\................................\.....\SWADCMPR.X32

............\................................\.....\SWAREAD.X32

............\................................\.....\TARGAIMP.X32

............\................................\.....\THEBYTE.X32

............\................................\.....\TIFFIMP.X32

............\................................\.....\VIEWSVC.X32

............\................................\.....\WAVREAD.X32

............\................................\.....\WMFVIEW.X32

............\vhdl实例\8位总线收发器:74245.txt

............\........\8位相等比较器.txt

............\........\fifo存储器举例:(注3).txt

............\........\LED七段译码.txt

............\........\readme.txt

............\........\VHDL基本语法\元件例化与层次设计.txt

............\........\............\加法器:generate语句的应用.txt

............\........\............\将16进制转化为std_logic.txt

............\........\............\无符号数到整数的转换.vhd

............\........\............\条件赋值:使用when else语句.

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org