文件名称:matrix_multiplier_with_memory

  • 所属分类:
  • 软件工程
  • 资源属性:
  • [Windows] [程序]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 6.09mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • chen-c*******
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

A matrix is a rectangular table of elements,which can be any number or abstract quantities that can be added and multiplied. Matrix multiplication is the operation of multiplying a matrix with a scalar or another matrix, which is simple fuction widely used to solve mathematical question in EECS field.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

matrix_multiplier_with_memory

.............................\01_RTL

.............................\......\01_run.f

.............................\......\09_clean_up

.............................\......\INCA_libs

.............................\......\.........\irun.lnx86.08.10.nc

.............................\......\.........\...................\.incl.file

.............................\......\.........\...................\.ncrun.lock

.............................\......\.........\...................\.ncv.lock

.............................\......\.........\...................\bind.lst.lnx86

.............................\......\.........\...................\cds.lib

.............................\......\.........\...................\cdsrun.lib

.............................\......\.........\...................\files.ts

.............................\......\.........\...................\hdl.var

.............................\......\.........\...................\hdlrun.var

.............................\......\.........\...................\ncelab.args

.............................\......\.........\...................\ncelab.env

.............................\......\.........\...................\ncelab.hrd

.............................\......\.........\...................\ncsim.args

.............................\......\.........\...................\ncsim.env

.............................\......\.........\...................\ncsim_restart.args

.............................\......\.........\...................\ncsim_restart.env

.............................\......\.........\...................\ncverilog.args

.............................\......\.........\...................\ncvlog.args

.............................\......\.........\...................\ncvlog.env

.............................\......\.........\...................\ncvlog.files

.............................\......\.........\...................\ncvlog.hrd

.............................\......\.........\...................\temp

.............................\......\.........\worklib

.............................\......\.........\.......\.cdsvmod

.............................\......\.........\.......\.inca.db.132.lnx86

.............................\......\.........\.......\cdsinfo.tag

.............................\......\.........\.......\inca.lnx86.132.pak

.............................\......\MM.fsdb

.............................\......\MM.v

.............................\......\ncverilog.key

.............................\......\ncverilog.log

.............................\......\novas.rc

.............................\......\nWaveLog

.............................\......\........\novas.rc

.............................\......\........\nWave.cmd

.............................\......\........\nWave.cmd.bak

.............................\......\........\pes.bat

.............................\......\........\turbo.log

.............................\......\PATTERN.v

.............................\......\pp.v

.............................\......\ralshd_128x32.v

.............................\......\TESTBED.v

.............................\02_SYN

.............................\......\01_run_dc

.............................\......\09_clean_up

.............................\......\alib-52

.............................\......\.......\RA1SH128_6_slow_syn.db.alib

.............................\......\.......\RA1SH64_15_slow_syn.db.alib

.............................\......\.......\slow.db.alib

.............................\......\.......\tpz973gwc.db.alib

.............................\......\command.log

.............................\......\default.svf

.............................\......\MM.v

.............................\......\Netlist

.............................\......\.......\MM_SYN.sdf

.............................\......\.......\MM_SYN.v

.............................\......\Report

.............................\......\......\MM.area

.............................\......\......\MM.resource

.............................\......\......\MM.timing

.............................\......\syn.log

................

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org