文件名称:51IPcore

  • 所属分类:
  • 单片机(51,AVR,MSP430等)
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 9.98mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 吴**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

很强 的的一份关于单片机ip核的,一般人我不告诉他-A very strong nucleus on the MCU ip, most people I did not tell him ...
(系统自动生成,下载前可以参看下载内容)

下载文件列表

自己搜集的51IP核_未整理\DW8051\DW01_add.v

.......................\......\DW01_addsub.v

.......................\......\DW01_cmp2.v

.......................\......\DW01_sub.v

.......................\......\DW02_mult.v

.......................\......\..8051\DW8051_package.inc

.......................\......\......\DW8051_parameter.v

.......................\......\......\vssver.scc

.......................\......\DW8051_alu.v

.......................\......\DW8051_biu.v

.......................\......\DW8051_control.v

.......................\......\DW8051_core.v

.......................\......\DW8051_cpu.v

.......................\......\DW8051_intr_0.v

.......................\......\DW8051_intr_1.v

.......................\......\DW8051_main_regs.v

.......................\......\DW8051_op_decoder.v

.......................\......\DW8051_serial.v

.......................\......\DW8051_shftreg.v

.......................\......\DW8051_timer.v

.......................\......\DW8051_timer2.v

.......................\......\DW8051_timer_ctr.v

.......................\......\DW8051_updn_ctr.v

.......................\......\DW8051_u_ctr_clr.v

.......................\DW8051_Databook.pdf

.......................\EmbeddedTCP_IPChipBasedonDW8051Core.pdf

.......................\I2C器件接口IP核的CPLD设计12.doc

.......................\.T51_verilog\IT51_AddSub.v

.......................\............\IT51_ALU.v

.......................\............\IT51_core.v

.......................\............\IT51_Glue.v

.......................\............\IT51_MD.v

.......................\............\IT51_TC01.v

.......................\............\IT51_TC2.v

.......................\............\IT51_top.f

.......................\............\IT51_top.v

.......................\............\IT51_UART.v

.......................\mc8051_design\8051核使用方法.pdf

.......................\.............\mc8051_design\dc\synth_core_dc.scr

.......................\.............\.............\.oc\comp_orig_ipcore.pdf

.......................\.............\.............\...\mc8051_overview.pdf

.......................\.............\.............\...\mc8051_ug.pdf

.......................\.............\.............\msim\hex2dual.c

.......................\.............\.............\....\keil.dua

.......................\.............\.............\....\keil.hex

.......................\.............\.............\....\mc8051_compile.do

.......................\.............\.............\....\mc8051_rom.dua

.......................\.............\.............\....\mc8051_sim.do

.......................\.............\.............\....\mc8051_wave.do

.......................\.............\.............\....\readme.txt

.......................\.............\.............\....\regs.log

.......................\.............\.............\....\tc1.asm

.......................\.............\.............\....\tc1.dua

.......................\.............\.............\....\tc1.hex

.......................\.............\.............\....\write2file.do

.......................\.............\.............\synpl\mc8051_core.prj

.......................\.............\.............\tb\mc8051_ramx_.vhd

.......................\.............\.............\..\mc8051_ramx_sim.vhd

.......................\.............\.............\..\mc8051_ramx_sim_cfg.vhd

.......................\.............\.............\..\mc8051_ram_.vhd

.......................\.............\.............\..\mc8051_ram_sim.vhd

.......................\.............\.............\..\mc8051_ram_sim_cfg.vhd

.......................\.............\.............\..\mc8051_rom_.vhd

.......................\.............\.............\..\mc8051_rom_sim.vhd

.......................\.............\.............\..\mc8051_rom_sim_cfg.vhd

.......................\.............\.............\..\tb_mc8051_alu_.vhd

.......................\.............\.............\..\tb_mc8051_alu_sim.vhd

.......................\.............\.............\..\tb_mc8051_alu_sim_cfg.vhd

.......................\.............\.............\..\tb_mc8051_siu_.vhd

................

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org