文件名称:the-verilog-source-code-of-8051-MCU

  • 所属分类:
  • 单片机(51,AVR,MSP430等)
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 303kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 许**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

8051单片机的源代码,用verilog进行编写,包括测试文件-source code of 8051 MCU
相关搜索: MIPS
8051
rtl

(系统自动生成,下载前可以参看下载内容)

下载文件列表

8051的Verilog源代码\8051_rtl\verilog\oc8051_acc.v

...................\........\.......\oc8051_alu.v

...................\........\.......\oc8051_alu_src1_sel.v

...................\........\.......\oc8051_alu_src2_sel.v

...................\........\.......\oc8051_alu_src3_sel.v

...................\........\.......\oc8051_comp.v

...................\........\.......\oc8051_cy_select.v

...................\........\.......\oc8051_decoder.v

...................\........\.......\oc8051_defines.v

...................\........\.......\oc8051_divide.v

...................\........\.......\oc8051_dptr.v

...................\........\.......\oc8051_ext_addr_sel.v

...................\........\.......\oc8051_fpga_tb.v

...................\........\.......\oc8051_fpga_top.v

...................\........\.......\oc8051_immediate_sel.v

...................\........\.......\oc8051_indi_addr.v

...................\........\.......\oc8051_multiply.v

...................\........\.......\oc8051_op_select.v

...................\........\.......\oc8051_pc.v

...................\........\.......\oc8051_port_out.v

...................\........\.......\oc8051_psw.v

...................\........\.......\oc8051_ram_rd_sel.v

...................\........\.......\oc8051_ram_sel.v

...................\........\.......\oc8051_ram_sel1.v

...................\........\.......\oc8051_ram_top.v

...................\........\.......\oc8051_ram_top1.v

...................\........\.......\oc8051_ram_wr_sel.v

...................\........\.......\oc8051_reg1.v

...................\........\.......\oc8051_reg2.v

...................\........\.......\oc8051_reg3.v

...................\........\.......\oc8051_reg4.v

...................\........\.......\oc8051_reg5.v

...................\........\.......\oc8051_reg8.v

...................\........\.......\oc8051_rom_addr_sel.v

...................\........\.......\oc8051_sp.v

...................\........\.......\oc8051_tb.v

...................\........\.......\oc8051_timescale.v

...................\........\.......\oc8051_top.v

...................\........\.......\oc8051_top1.v

...................\........\.......\read me.txt

...................\8051_rtl.rar

...................\asm\test.asm

...................\bench\verilog\oc8051_defines.v

...................\.....\.......\oc8051_fpga_tb.v

...................\.....\.......\oc8051_tb.v

...................\.....\.......\oc8051_timescale.v

...................\sim\rtl_sim\out\VERILOG.LOG

...................\...\.......\run\MAKE

...................\...\.......\...\make_fpga

...................\...\.......\src\verilog\oc8051_ram.v

...................\...\.......\...\.......\oc8051_rom.v

...................\.yn\log\oc8051_top.srr

...................\...\out\oc8051.ucf

...................\...\...\oc8051_top.bit

...................\...\...\oc8051_top.srm

...................\...\...\oc8051_top.srs

...................\...\...\read.me

...................\...\src\verilog\disp.v

...................\...\...\.......\oc8051_fpga_top.v

...................\...\...\.......\oc8051_ram.v

...................\...\...\.......\oc8051_rom.v

...................\...\...\.......\read me.txt

...................\.im\rtl_sim\src\verilog

...................\...\.......\out

...................\...\.......\run

...................\...\.......\src

...................\.yn\src\verilog

...................\8051_rtl\verilog

...................\bench\verilog

...................\sim\rtl_sim

...................\.yn\log

...................\...\out

...................\...\src

...................\8051_rtl

...................\asm

...................\bench

...................\sim

...................\syn

8051的Verilog源代码

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org