文件名称:RS2322

  • 所属分类:
  • DSP编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 胡**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

verilog

功能:DSP或单片机向FPGA的DPRAM中写入一块数据(最大不超过2K字节,前2个字节为代发送数据长度),然后给出启动信号send_start,本模块自动读出DPRAM中的数据,按设定的波特率将DPRAM中规定的长度的数据发送出去。

接口信号说明:

send_start:启动FPGA串行发送脉冲

sys_rst:系统复位脉冲

bps_setup:波特率选择

clk5_714:5.714MHz时钟

char_in:从DPRAM中读出的代发送数据

ReadPtr_w:DPRAM读指针

charout:串行数据输出

bps_clk:位时钟(测试用)

SendFlag:发送标志(发送数据时为1)

开发环境:ISE8.4-verilog functions: DSP or microcontroller to the DPRAM of the FPGA to write a data (no more than 2K bytes, the first two bytes on behalf of the send data length), then gives the start signal send_start, this module automatically read the DPRAM data, set the baud rate specified in the DPRAM length of data sent. Interface signals Descr iption: the send_start: Start FPGA serial send the pulse sys_rst: system reset pulse bps_setup: baud rate selection clk5_714: the 5.714MHz clock char_in: DPRAM read out on behalf of the sending data ReadPtr_w: the DPRAM Reading the pointer charout: serial data output bps_clk: SendFlag bit clock (test): Send logo (send data to 1)
(系统自动生成,下载前可以参看下载内容)

下载文件列表

RS2322.v

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org