文件名称:VCS

  • 所属分类:
  • 其他小程序
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 7.48mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 引*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

VCS详细学习资料。内涵专业研究院所内部培训资料。适合于初学者学习使用,易于上手。-VCS learning
(系统自动生成,下载前可以参看下载内容)

下载文件列表





VCS学习资料\help_vcs.doc

...........\Ncverilog_常用命令使用详解.docx

...........\Ncverilog_的一些经验.doc

...........\VCS_workshop_2006_linux\lab1\parta\add4.v

...........\.......................\....\.....\add8.v

...........\.......................\....\.....\addertb.v

...........\.......................\....\.....\fa.v

...........\.......................\....\....b\add8.v

...........\.......................\....\.....\addertb.v

...........\.......................\...2\parta\add4.v

...........\.......................\....\.....\add8.v

...........\.......................\....\.....\adder.f

...........\.......................\....\.....\addertb.v

...........\.......................\....\.....\fa.v

...........\.......................\....\....b\add4.v

...........\.......................\....\.....\add8.v

...........\.......................\....\.....\adder.f

...........\.......................\....\.....\addertb.v

...........\.......................\....\.....\fa.v

...........\.......................\....\.....\test.s

...........\.......................\...3\.nfs1746

...........\.......................\....\cleanup

...........\.......................\....\docs\assertions.jpg

...........\.......................\....\....\assert_source.jpg

...........\.......................\....\....\assert_wave.jpg

...........\.......................\....\....\DVE_DEMO_FIFO_SVA.html

...........\.......................\....\....\fifo1.jpg

...........\.......................\....\....\fifo11.jpg

...........\.......................\....\....\fifo12.jpg

...........\.......................\....\....\fifo2.jpg

...........\.......................\....\....\fifo3.jpg

...........\.......................\....\....\fifo4.jpg

...........\.......................\....\....\fifo5.jpg

...........\.......................\....\....\fifo6.jpg

...........\.......................\....\....\fifo7.jpg

...........\.......................\....\....\fifo8.jpg

...........\.......................\....\....\fifo9.jpg

...........\.......................\....\fifo.sva

...........\.......................\....\fifo.v

...........\.......................\....\fifo_tb.v

...........\.......................\....\run1.f

...........\.......................\....\run1sva.f

...........\.......................\....\run_debug

...........\.......................\....\run_debug_all

...........\.......................\....\run_debug_sva

...........\.......................\....\start_over\fifo.v

...........\.......................\....\..........\fifo_fix1

...........\.......................\....\..........\fifo_tb.v

...........\.......................\....\..........\run1.f

...........\.......................\....\vcdplus_trace.vpd

...........\.......................\...5\parta\add4.v

...........\.......................\....\.....\add8.v

...........\.......................\....\.....\adder.f

...........\.......................\....\.....\addertb.v

...........\.......................\....\.....\fa.v

...........\.......................\....\....b\adder.f

...........\.......................\....\.....\adder.v

...........\.......................\....\.....\addertb.v

...........\.......................\....\.....\reference.dump

...........\.......................\...6\parta\.nfs4D82

...........\.......................\....\.....\CAM.v

...........\.......................\....\.....\codec.f

...........\.......................\....\.....\codec.v

...........\.......................\....\.....\codectb.v

...........\.......................\....\.....\fileio.o

...........\.......................\....\.....\solution\CAM1.v

...........\.......................\....\.....\........\CAM2.v

...........\.......................\....\.....\test

...........\.......................\....\....b\CAM2.v

...........\.......................\....\.....\codec.f

...........\.......................\....\.....\codec.v

...........\.......................\....\.....\codectb.v

...........\.......................\....\.....\fileio.o

...........\.......................\....\.....\test

...........\.......................\.

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org