文件名称:quartusII_traffic

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [MacOS] [C/C++] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 6.79mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 河*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

在quartusII平台开发的一个交通灯的控制程序,并在nios平台上可以使用,所用的芯片是Stratix
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 23825746quartusii_traffic.rar 列表
5_2\03281142.asm.rpt
5_2\03281142.done
5_2\03281142.fit.rpt
5_2\03281142.fit.smsg
5_2\03281142.fit.summary
5_2\03281142.flow.rpt
5_2\03281142.map.rpt
5_2\03281142.map.summary
5_2\03281142.pin
5_2\03281142.pof
5_2\03281142.qpf
5_2\03281142.qsf
5_2\03281142.qws
5_2\03281142.sof
5_2\03281142.tan.rpt
5_2\03281142.tan.summary
5_2\03281142.tcl
5_2\altpllpll.cmp
5_2\altpllpll.ppf
5_2\altpllpll.vhd
5_2\altpllpll_0.cmp
5_2\altpllpll_0.ppf
5_2\altpllpll_0.vhd
5_2\Chain1.cdf
5_2\cpu.ocp
5_2\cpu.vhd
5_2\cpu.vho
5_2\cpu_ic_tag_ram.mif
5_2\cpu_jtag_debug_module.vhd
5_2\cpu_jtag_debug_module_wrapper.vhd
5_2\cpu_mult_cell.vhd
5_2\cpu_ociram_default_contents.mif
5_2\cpu_rf_ram_a.mif
5_2\cpu_rf_ram_b.mif
5_2\cpu_test_bench.vhd
5_2\jtag_uart.vhd
5_2\lcd_display.vhd
5_2\led_pio.vhd
5_2\nios2.bsf
5_2\nios2.ptf
5_2\nios2.v
5_2\nios2.vhd
5_2\nios2_generation_script
5_2\nios2_log.txt
5_2\nios2_setup_quartus.tcl
5_2\pll.vhd
5_2\reconfig_request_pio.vhd
5_2\sdram.vhd
5_2\sdram_test_component.vhd
5_2\sopc_builder_debug_log.txt
5_2\sys_clk_timer.vhd
5_2\test1.bdf
5_2\.sopc_builder\install.ptf
5_2\.sopc_builder
5_2\db\03281142.(0).cnf.cdb
5_2\db\03281142.(0).cnf.hdb
5_2\db\03281142.(1).cnf.cdb
5_2\db\03281142.(1).cnf.hdb
5_2\db\03281142.(10).cnf.cdb
5_2\db\03281142.(10).cnf.hdb
5_2\db\03281142.(11).cnf.cdb
5_2\db\03281142.(11).cnf.hdb
5_2\db\03281142.(12).cnf.cdb
5_2\db\03281142.(12).cnf.hdb
5_2\db\03281142.(13).cnf.cdb
5_2\db\03281142.(13).cnf.hdb
5_2\db\03281142.(14).cnf.cdb
5_2\db\03281142.(14).cnf.hdb
5_2\db\03281142.(15).cnf.cdb
5_2\db\03281142.(15).cnf.hdb
5_2\db\03281142.(16).cnf.cdb
5_2\db\03281142.(16).cnf.hdb
5_2\db\03281142.(17).cnf.cdb
5_2\db\03281142.(17).cnf.hdb
5_2\db\03281142.(18).cnf.cdb
5_2\db\03281142.(18).cnf.hdb
5_2\db\03281142.(19).cnf.cdb
5_2\db\03281142.(19).cnf.hdb
5_2\db\03281142.(2).cnf.cdb
5_2\db\03281142.(2).cnf.hdb
5_2\db\03281142.(20).cnf.cdb
5_2\db\03281142.(20).cnf.hdb
5_2\db\03281142.(21).cnf.cdb
5_2\db\03281142.(21).cnf.hdb
5_2\db\03281142.(22).cnf.cdb
5_2\db\03281142.(22).cnf.hdb
5_2\db\03281142.(23).cnf.cdb
5_2\db\03281142.(23).cnf.hdb
5_2\db\03281142.(24).cnf.cdb
5_2\db\03281142.(24).cnf.hdb
5_2\db\03281142.(25).cnf.cdb
5_2\db\03281142.(25).cnf.hdb
5_2\db\03281142.(26).cnf.cdb
5_2\db\03281142.(26).cnf.hdb
5_2\db\03281142.(27).cnf.cdb
5_2\db\03281142.(27).cnf.hdb
5_2\db\03281142.(28).cnf.cdb
5_2\db\03281142.(28).cnf.hdb
5_2\db\03281142.(29).cnf.cdb
5_2\db\03281142.(29).cnf.hdb
5_2\db\03281142.(3).cnf.cdb
5_2\db\03281142.(3).cnf.hdb
5_2\db\03281142.(30).cnf.cdb
5_2\db\03281142.(30).cnf.hdb
5_2\db\03281142.(31).cnf.cdb
5_2\db\03281142.(31).cnf.hdb
5_2\db\03281142.(32).cnf.cdb
5_2\db\03281142.(32).cnf.hdb
5_2\db\03281142.(33).cnf.cdb
5_2\db\03281142.(33).cnf.hdb
5_2\db\03281142.(34).cnf.cdb
5_2\db\03281142.(34).cnf.hdb
5_2\db\03281142.(35).cnf.cdb
5_2\db\03281142.(35).cnf.hdb
5_2\db\03281142.(36).cnf.cdb
5_2\db\03281142.(36).cnf.hdb
5_2\db\03281142.(37).cnf.cdb
5_2\db\03281142.(37).cnf.hdb
5_2\db\03281142.(38).cnf.cdb
5_2\db\03281142.(38).cnf.hdb
5_2\db\03281142.(39).cnf.cdb
5_2\db\03281142.(39).cnf.hdb
5_2\db\03281142.(4).cnf.cdb
5_2\db\03281142.(4).cnf.hdb
5_2\db\03281142.(40).cnf.cdb
5_2\db\03281142.(40).cnf.hdb
5_2\db\03281142.(41).cnf.cdb
5_2\db\03281142.(41).cnf.hdb
5_2\db\03281142.(42).cnf.cdb
5_2\db\03281142.(42).cnf.hdb
5_2\db\03281142.(43).cnf.cdb
5_2\db\03281142.(43).cnf.hdb
5_2\db\03281142.(44).cnf.cdb
5_2\db\03281142.(44).cnf.hdb
5_2\db\03281142.(45).cnf.cdb
5_2\db\03281142.(45).cnf.hdb
5_2\db\03281142.(46).cnf.cdb
5_2\db\03281142.(46).cnf.hdb
5_2\db\03281142.(47).cnf.cdb
5_2\db\03281142.(47).cnf.hdb
5_2\db\03281142.(48).cnf.cdb
5_2\db\03281142.(48).cnf.hdb
5_2\db\03281142.(49).cnf.cdb
5_2\db\03281142.(49).cnf.hdb
5_2\db\03281142.(5).cnf.cdb
5_2\db\03281142.(5).cnf.hdb
5_2\db\03281142.(50).cnf.cdb
5_2\db\03281142.(50).cnf.hdb
5_2\db\03281142.(51).cnf.cdb
5_2\db\03281142.(51).cnf.hdb
5_2\db\03281142.(52).cnf.cdb
5_2\db\03281142.(52).cnf.hdb
5_2\db\03281142.(53).cnf.cdb
5_2\db\03281142.(53).cnf.hdb
5_2\db\03281142.(54).cnf.cdb
5_2\db\03281142.(54).cnf.hdb
5_2\db\03281142.(55).cnf.cdb
5_2\db\03281142.(55).cnf.hdb
5_2\db\03281142.(56).cnf.cdb
5_2\db\03281142.(56).cnf.hdb
5_2\db\03281142.(57).cnf.cdb
5_2\db\03281142.(57).cnf.hdb
5_2\db\03281142.(58).cnf.cdb
5_2\db\03281142.(58).cnf.hdb
5_2\db\03281142.(59).cnf.cdb
5_2\db\03281142.(59).cnf.hdb
5_2\db\03281142.(6).cnf.cdb
5_2\db\03281142.(6).cnf.hdb
5_2\db\03281142.(60).cnf.cdb
5_2\db\03281142.(60).cnf.hdb
5_2\db\03281142.(61).cnf.cdb
5_2\db\03281142.(61).cnf.hdb
5_2\db\03281142.(62).cnf.cdb
5_2\db\03281142.(62).cnf.hdb
5_2\db\03281142.(63).cnf.cdb
5_2\db\03281142.(63).cnf.hdb
5_2\db\03281142.(64).cnf.cdb
5_2\db\03281142.(64).cnf.hdb
5_2\db\03281142.(65).cnf.cdb
5_2\db\03281142.(65).cnf.hdb
5_2\db\03281142.(66).cnf.cdb
5_2\db\03281142.(66).cnf.hdb
5_2\db\03281142.(67).cnf.cdb
5_2\db\03281142.(67).cnf.hdb
5_2\db\03281142.(68).cnf.cdb
5_2\db\03281142.(68).cnf.hdb
5_2\db\03281142.(69).cnf.cdb
5_2\db\03281142.(69).cnf.hdb
5_2\db\03281142.(7).cnf.cdb
5_2\db\03281142.(7).cnf.hdb
5_2\db\03281142.(70).cnf.cdb
5_2\db\03281142.(70).cnf.hdb
5_2\db\03281142.(71).cnf.cdb
5_2\db\03281142.(71).cnf.hdb
5_2\db\03281142.(72).cnf.cdb
5_2\db\03281142.(72).cnf.hdb
5_2\db\03281142.(73).cnf.cdb
5_2\db\03281142.(73).cnf.hdb
5_2\db\03281142.(74).cnf.cdb
5_2\db\03281142.(74).cnf.hdb
5_2\db\03281142.(75).cnf.cdb
5_2\db\03281142.(75).cnf.hdb
5_2\db\03281142.(76).cnf.cdb
5_2\db\03281142.(76).cnf.hdb
5_2\db\03281142.(77).cnf.cdb
5_2\db\03281142.(77).cnf.hdb
5_2\db\03281142.(78).cnf.cdb
5_2\db\03281142.(78).cnf.hdb
5_2\db\03281142.(79).cnf.cdb
5_2\db\03281142.(79).cnf.hdb
5_2\db\03281142.(8).cnf.cdb
5_2\db\03281142.(8).cnf.hdb
5_2\db\03281142.(80).cnf.cdb
5_2\db\03281142.(80).cnf.hdb
5_2\db\03281142.(81).cnf.cdb
5_2\db\03281142.(81).cnf.hdb
5_2\db\03281142.(82).cnf.cdb
5_2\db\03281142.(82).cnf.hdb
5_2\db\03281142.(83).cnf.cdb
5_2\db\03281142.(83).cnf.hdb
5_2\db\03281142.(84).cnf.cdb
5_2\db\03281142.(84).cnf.hdb
5_2\db\03281142.(85).cnf.cdb
5_2\db\03281142.(85).cnf.hdb
5_2\db\03281142.(86).cnf.cdb
5_2\db\03281142.(86).cnf.hdb
5_2\db\03281142.(87).cnf.cdb
5_2\db\03281142.(87).cnf.hdb
5_2\db\03281142.(88).cnf.cdb
5_2\db\03281142.(88).cnf.hdb
5_2\db\03281142.(89).cnf.cdb
5_2\db\03281142.(89).cnf.hdb
5_2\db\03281142.(9).cnf.cdb
5_2\db\03281142.(9).cnf.hdb
5_2\db\03281142.asm.qmsg
5_2\db\03281142.cbx.xml
5_2\db\03281142.cmp.cdb
5_2\db\03281142.cmp.hdb
5_2\db\03281142.cmp.kpt
5_2\db\03281142.cmp.logdb
5_2\db\03281142.cmp.rdb
5_2\db\03281142.cmp.tdb
5_2\db\03281142.cmp0.ddb
5_2\db\03281142.dbp
5_2\db\03281142.db_info
5_2\db\03281142.eco.cdb
5_2\db\03281142.fit.qmsg
5_2\db\03281142.hier_info
5_2\db\03281142.hif
5_2\db\03281142.map.cdb
5_2\db\03281142.map.hdb
5_2\db\03281142.map.logdb
5_2\db\03281142.map.qmsg
5_2\db\03281142.pre_map.cdb
5_2\db\03281142.pre_map.hdb
5_2\db\03281142.psp
5_2\db\03281142.pss
5_2\db\03281142.rtlv.hdb
5_2\db\03281142.rtlv_sg.cdb
5_2\db\03281142.rtlv_sg_swap.cdb
5_2\db\03281142.sgdiff.cdb
5_2\db\03281142.sgdiff.hdb
5_2\db\03281142.signalprobe.cdb
5_2\db\03281142.sld_design_entry.sci
5_2\db\03281142.sld_design_entry_dsc.sci
5_2\db\03281142.syn_hier_info
5_2\db\03281142.tan.qmsg
5_2\db\altsyncram_00e1.tdf
5_2\db\altsyncram_10e1.tdf
5_2\db\altsyncram_8q62.tdf
5_2\db\altsyncram_kml1.tdf
5_2\db\altsyncram_nnb1.tdf
5_2\db\altsyncram_puv1.tdf
5_2\db\altsyncram_r9e1.tdf
5_2\db\a_dpfifo_jm21.tdf
5_2\db\a_fefifo_7cf.tdf
5_2\db\cntr_bd7.tdf
5_2\db\cntr_te8.tdf
5_2\db\decode_lhi.tdf
5_2\db\dpram_ga21.tdf
5_2\db\mult_add_1f72.tdf
5_2\db\scfifo_cg21.tdf
5_2\db
5_2\nios2_sim\atail-f.pl
5_2\nios2_sim\cpu_ic_tag_ram.dat
5_2\nios2_sim\cpu_ic_tag_ram.hex
5_2\nios2_sim\cpu_ociram_default_contents.dat
5_2\nios2_sim\cpu_ociram_default_contents.hex
5_2\nios2_sim\cpu_rf_ram_a.dat
5_2\nios2_sim\cpu_rf_ram_a.hex
5_2\nios2_sim\cpu_rf_ram_b.dat
5_2\nios2_sim\cpu_rf_ram_b.hex
5_2\nios2_sim\create_nios2_project.do
5_2\nios2_sim\ext_flash.dat
5_2\nios2_sim\ext_flash.sym
5_2\nios2_sim\ext_ram.dat
5_2\nios2_sim\ext_ram.sym
5_2\nios2_sim\ext_ram_lane0.dat
5_2\nios2_sim\ext_ram_lane1.dat
5_2\nios2_sim\ext_ram_lane2.dat
5_2\nios2_sim\ext_ram_lane3.dat
5_2\nios2_sim\jtag_uart_input_mutex.dat
5_2\nios2_sim\jtag_uart_input_stream.dat
5_2\nios2_sim\jtag_uart_log.bat
5_2\nios2_sim\jtag_uart_output_stream.dat
5_2\nios2_sim\list_presets.do
5_2\nios2_sim\modelsim.tcl
5_2\nios2_sim\sdram.dat
5_2\nios2_sim\sdram.sym
5_2\nios2_sim\setup_sim.do
5_2\nios2_sim\virtuals.do
5_2\nios2_sim\wave_presets.do
5_2\nios2_sim
5_2\software\traffic.h
5_2\software\traffic_light.c
5_2\software\.metadata\.lock
5_2\software\.metadata\.log
5_2\software\.metadata\version.ini
5_2\software\.metadata\.plugins\com.altera.nj.ui\dialog_settings.xml
5_2\software\.metadata\.plugins\com.altera.nj.ui
5_2\software\.metadata\.plugins\org.eclipse.cdt.core\.log
5_2\software\.metadata\.plugins\org.eclipse.cdt.core\2947006638.index
5_2\software\.metadata\.plugins\org.eclipse.cdt.core\3476204047.index
5_2\software\.metadata\.plugins\org.eclipse.cdt.core\savedIndexNames.txt
5_2\software\.metadata\.plugins\org.eclipse.cdt.core
5_2\software\.metadata\.plugins\org.eclipse.cdt.make.core\specs.c
5_2\software\.metadata\.plugins\org.eclipse.cdt.make.core\specs.cpp
5_2\software\.metadata\.plugins\org.eclipse.cdt.make.core
5_2\software\.metadata\.plugins\org.eclipse.cdt.ui\dialog_settings.xml
5_2\software\.metadata\.plugins\org.eclipse.cdt.ui
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\.index
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\24\60a0263e0c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\24\80f188380c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\24
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\4\40f8343e0c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\4
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\45\505b2b3e0c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\45
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\5d\80b91a3e0c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\5d
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\6a\5097f0d70c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\6a
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\9\70741f3e0c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\9
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\93\807bef380c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\93
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\b2\50cc2d3e0c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\b2
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\d6\6062fb380c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\d6\7021e7d70c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\d6
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\f0\606792380c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\f0
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\fa\70a7f6380c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\fa
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\fc\60cfbcb90c6b001b1ff6faa23c318c91
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history\fc
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.history
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.projects\traffic\.properties
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.projects\traffic
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.projects\traffic_syslib\.properties
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.projects\traffic_syslib
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.projects
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.root\1.tree
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.root
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.safetable\org.eclipse.core.resources
5_2\software\.metadata\.plugins\org.eclipse.core.resources\.safetable
5_2\software\.metadata\.plugins\org.eclipse.core.resources
5_2\software\.metadata\.plugins\org.eclipse.core.runtime\.settings\org.eclipse.cdt.core.prefs
5_2\software\.metadata\.plugins\org.eclipse.core.runtime\.settings\org.eclipse.cdt.debug.core.prefs
5_2\software\.metadata\.plugins\org.eclipse.core.runtime\.settings\org.eclipse.cdt.ui.prefs
5_2\software\.metadata\.plugins\org.eclipse.core.runtime\.settings\org.eclipse.ui.prefs
5_2\software\.metadata\.plugins\org.eclipse.core.runtime\.settings
5_2\software\.metadata\.plugins\org.eclipse.core.runtime
5_2\software\.metadata\.plugins\org.eclipse.debug.core\.launches\com.altera.nj.launch.HWLaunch.SHARED_INFO.launch
5_2\software\.metadata\.plugins\org.eclipse.debug.core\.launches\traffic Nios II HW configuration.launch
5_2\software\.metadata\.plugins\org.eclipse.debug.core\.launches
5_2\software\.metadata\.plugins\org.eclipse.debug.core
5_2\software\.metadata\.plugins\org.eclipse.debug.ui\dialog_settings.xml
5_2\software\.metadata\.plugins\org.eclipse.debug.ui\launchConfigurationHistory.xml
5_2\software\.metadata\.plugins\org.eclipse.debug.ui
5_2\software\.metadata\.plugins\org.eclipse.ui\dialog_settings.xml
5_2\software\.metadata\.plugins\org.eclipse.ui
5_2\software\.metadata\.plugins\org.eclipse.ui.ide\dialog_settings.xml
5_2\software\.metadata\.plugins\org.eclipse.ui.ide
5_2\software\.metadata\.plugins\org.eclipse.ui.workbench\dialog_settings.xml
5_2\software\.metadata\.plugins\org.eclipse.ui.workbench\workbench.xml
5_2\software\.metadata\.plugins\org.eclipse.ui.workbench
5_2\software\.metadata\.plugins
5_2\software\.metadata
5_2\software\traffic\.cdtbuild
5_2\software\traffic\.cdtproject
5_2\software\traffic\.project
5_2\software\traffic\application.stf
5_2\software\traffic\readme.txt
5_2\software\traffic\traffic.h
5_2\software\traffic\traffic_light.c
5_2\software\traffic\Debug
5_2\software\traffic
5_2\software\traffic_syslib\.cdtbuild
5_2\software\traffic_syslib\.cdtproject
5_2\software\traffic_syslib\.project
5_2\software\traffic_syslib\readme.txt
5_2\software\traffic_syslib\system.stf
5_2\software\traffic_syslib\Debug
5_2\software\traffic_syslib
5_2\software
5_2

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org