文件名称:PipelineCPU

  • 所属分类:
  • 微处理器(ARM/PowerPC等)
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2013-05-18
  • 文件大小:
  • 10.83mb
  • 下载次数:
  • 1次
  • 提 供 者:
  • 武**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

这是我们设计的一个MIPS流水线CPU,基于Verilog HDL语言实现。它与传统的MIPS流水线CPU不同点在于,5个流水段各自维护一个变量(SelType)表明当前正在执行的指令类型,这样处理数据冒险、loaduse冒险或者跳转冒险时候每个段都能知道其他段正在处理的语句,从而方便我们的处理。-This is a MIPS pipelined CPU based on Verilog HDL language to achieve. It the the MIPS pipelined CPU differences that each of the five pipeline stages maintenance a variable (SelType) indicates that the currently executing instruction types, this treatment data Adventure loaduse adventure or jump adventure when each segment can know The statement is being processed, in order to facilitate our processing.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





PipelineCPU\ALU.v

...........\db\add_sub_gvd.tdf

...........\..\altsyncram_2rc1.tdf

...........\..\altsyncram_9g31.tdf

...........\..\altsyncram_a981.tdf

...........\..\altsyncram_eb01.tdf

...........\..\altsyncram_g981.tdf

...........\..\altsyncram_sng1.tdf

...........\..\cmpr_6cc.tdf

...........\..\cntr_ikf.tdf

...........\..\cntr_kkf.tdf

...........\..\logic_util_heursitic.dat

...........\..\mux_dqc.tdf

...........\..\mux_ioc.tdf

...........\..\mux_joc.tdf

...........\..\PipelineCPU.cbx.xml

...........\..\PipelineCPU.cmp.rdb

...........\..\PipelineCPU.cmp_merge.kpt

...........\..\PipelineCPU.db_info

...........\..\PipelineCPU.eco.cdb

...........\..\PipelineCPU.eds_overflow

...........\..\PipelineCPU.fnsim.cdb

...........\..\PipelineCPU.fnsim.hdb

...........\..\PipelineCPU.fnsim.qmsg

...........\..\PipelineCPU.hier_info

...........\..\PipelineCPU.hif

...........\..\PipelineCPU.lpc.html

...........\..\PipelineCPU.lpc.rdb

...........\..\PipelineCPU.lpc.txt

...........\..\PipelineCPU.map.bpm

...........\..\PipelineCPU.map.cdb

...........\..\PipelineCPU.map.ecobp

...........\..\PipelineCPU.map.hdb

...........\..\PipelineCPU.map.kpt

...........\..\PipelineCPU.map.logdb

...........\..\PipelineCPU.map.qmsg

...........\..\PipelineCPU.map_bb.cdb

...........\..\PipelineCPU.map_bb.hdb

...........\..\PipelineCPU.map_bb.logdb

...........\..\PipelineCPU.PipelineCPU0.rtl.mif

...........\..\PipelineCPU.pre_map.cdb

...........\..\PipelineCPU.pre_map.hdb

...........\..\PipelineCPU.rtlv.hdb

...........\..\PipelineCPU.rtlv_sg.cdb

...........\..\PipelineCPU.rtlv_sg_swap.cdb

...........\..\PipelineCPU.sgdiff.cdb

...........\..\PipelineCPU.sgdiff.hdb

...........\..\PipelineCPU.sim.cvwf

...........\..\PipelineCPU.sim.hdb

...........\..\PipelineCPU.sim.qmsg

...........\..\PipelineCPU.sim.rdb

...........\..\PipelineCPU.simfam

...........\..\PipelineCPU.sld_design_entry.sci

...........\..\PipelineCPU.sld_design_entry_dsc.sci

...........\..\PipelineCPU.syn_hier_info

...........\..\PipelineCPU.tis_db_list.ddb

...........\..\PipelineCPU.tmw_info

...........\..\prev_cmp_PipelineCPU.map.qmsg

...........\..\prev_cmp_PipelineCPU.qmsg

...........\..\prev_cmp_PipelineCPU.sim.qmsg

...........\..\shift_taps_m1m.tdf

...........\..\shift_taps_q1m.tdf

...........\..\shift_taps_t1m.tdf

...........\..\wed.wsf

...........\Imem.v

...........\Imem.v.bak

...........\incremental_db\compiled_partitions\PipelineCPU.root_partition.map.atm

...........\..............\...................\PipelineCPU.root_partition.map.cdb

...........\..............\...................\PipelineCPU.root_partition.map.dpi

...........\..............\...................\PipelineCPU.root_partition.map.hdb

...........\..............\...................\PipelineCPU.root_partition.map.hdbx

...........\..............\...................\PipelineCPU.root_partition.map.kpt

...........\..............\README

...........\left2.v

...........\left2.v.bak

...........\memory.v

...........\memory.v.bak

...........\PipelineCPU.qpf

...........\PipelineCPU.qsf

...........\PipelineCPU.qws

...........\PipelineCPU.rar

...........\PipelineCPU.v

...........\PipelineCPU.v.bak

...........\PipelineCPU.vwf

...........\PLUS.v

...........\PLUS.v.bak

...........\register.v

...........\register.v.bak

...........\..lease\PipelineCPU.done

...........\.......\PipelineCPU.flow.rpt

...........\.......\PipelineCPU.map.rpt

...........\.......\PipelineCPU.map.smsg

...........\.......\PipelineCPU.map.summary

...........\.......\PipelineCPU.sim.rpt

...........\Shift.v

...........\signedextend.v

...........\incremental_db\compiled_partitions

...........\db

...........\incremental_db

...........\release

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org