文件名称:vhdlll

  • 所属分类:
  • 软件工程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2015-06-12
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • hs***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

八位数码管扫描显示程序,要求显示12345678 间隔四秒显示56789ABC 间隔四秒显示3456789A 再隔4秒显示

-LIBRARY IEEE

USE IEEE.STD_LOGIC_1164.ALL

USE IEEE.STD_LOGIC_UNSIGNED.ALL

ENTITY chenyongqiang IS

PORT ( CLK : IN STD_LOGIC 

SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) 段控制信号输出

BT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) 位控制信号输出

END

ARCHITECTURE one OF chenyongqiang IS

SIGNAL CNT8 : STD_LOGIC_VECTOR(2 DOWNTO 0) 

SIGNAL A : INTEGER RANGE 0 TO 15

BEGIN

P1: PROCESS( CNT8 )

BEGIN

CASE CNT8 IS

WHEN 000 => BT <= 00000001  A <= 1 

WHEN 001 => BT <= 00000010  A <= 2 

WHEN 010 => BT <= 00000100  A <= 3 

WHEN 011 => BT <= 00001000  A <= 4 

WHEN 100 => BT <= 00010000  A <= 5 

WHEN 101 => BT <= 00100000  A <= 6 

WHEN 110 => BT <= 01000000  A <= 7 

WHEN 111 => BT <= 10000000  A <= 8 

WHEN OTHERS => NULL 

END CASE 

END PROCESS P1

P2: PROCESS(CLK)

BEGIN

IF CLK EVENT AND CLK = 1 THEN CNT8 <= CNT8+ 1 

END IF

END PROCESS P2 

P3: PROCESS( A
(系统自动生成,下载前可以参看下载内容)

下载文件列表





vhdlll.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org