文件名称:UVM

  • 所属分类:
  • 其他小程序
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2015-04-05
  • 文件大小:
  • 9.15mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • luo***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

uvm验证方法学入门。step by step,适合IC验证人员入门-uvm verification methodology started. step by step, for IC verification personnel entry
(系统自动生成,下载前可以参看下载内容)

下载文件列表





UVM初级开发指南-代码\第七讲用例\hello+C\dut_hello.v

....................\..........\.......\foreign.c

....................\..........\.......\hello_agent.sv

....................\..........\.......\hello_case.sv

....................\..........\.......\hello_driver.sv

....................\..........\.......\hello_env.sv

....................\..........\.......\hello_if.sv

....................\..........\.......\hello_monitor.sv

....................\..........\.......\hello_pkg.sv

....................\..........\.......\hello_scoreboard.sv

....................\..........\.......\hello_sequence.sv

....................\..........\.......\hello_sequencer.sv

....................\..........\.......\hello_tb_top.sv

....................\..........\.......\hello_transaction.sv

....................\..........\.......\new 2.sv

....................\..........\.......\reference_model.sv

....................\..........\.......\run.bat

....................\..三讲用例\run.bat

....................\..........\uvm-1.1d.tar.gz

....................\..........\uvm_dpi.dll

....................\..........\uvm_dpi.rar

....................\..二讲用例\hello_world_demo.rar

....................\..........\uvm-1.1a\pax_global_header

....................\..........\........\uvm-1.1a\bin\add_uvm_object_new.pl

....................\..........\........\........\...\ovm2uvm.pl

....................\..........\........\........\...\uvm_dpi_name

....................\..........\........\........\...\uvm_os_name

....................\..........\........\........\docs\html\files\base\uvm_barrier-svh.html

....................\..........\........\........\....\....\.....\....\uvm_bottomup_phase-svh.html

....................\..........\........\........\....\....\.....\....\uvm_callback-svh.html

....................\..........\........\........\....\....\.....\....\uvm_cmdline_processor-svh.html

....................\..........\........\........\....\....\.....\....\uvm_common_phases-svh.html

....................\..........\........\........\....\....\.....\....\uvm_comparer-svh.html

....................\..........\........\........\....\....\.....\....\uvm_component-svh.html

....................\..........\........\........\....\....\.....\....\uvm_config_db-svh.html

....................\..........\........\........\....\....\.....\....\uvm_domain-svh.html

....................\..........\........\........\....\....\.....\....\uvm_event-svh.html

....................\..........\........\........\....\....\.....\....\uvm_event_callback-svh.html

....................\..........\........\........\....\....\.....\....\uvm_factory-svh.html

....................\..........\........\........\....\....\.....\....\uvm_globals-svh.html

....................\..........\........\........\....\....\.....\....\uvm_heartbeat-svh.html

....................\..........\........\........\....\....\.....\....\uvm_misc-svh.html

....................\..........\........\........\....\....\.....\....\uvm_object-svh.html

....................\..........\........\........\....\....\.....\....\uvm_objection-svh.html

....................\..........\........\........\....\....\.....\....\uvm_object_globals-svh.html

....................\..........\........\........\....\....\.....\....\uvm_packer-svh.html

....................\..........\........\........\....\....\.....\....\uvm_phase-svh.html

....................\..........\........\........\....\....\.....\....\uvm_pool-svh.html

....................\..........\........\........\....\....\.....\....\uvm_port_base-svh.html

....................\..........\........\........\....\....\.....\....\uvm_printer-svh.html

....................\..........\........\........\....\....\.....\....\uvm_queue-svh.html

....................\..........\........\........\....\....\.....\....\uvm_recorder-svh.html

....................\..........\........\........\....\....\.....\....\uvm_registry-svh.html

....................\..........\........\........\....\....\.....\....\uvm_report_catcher-svh.html

....................\..........\........\........\....\....\.....\....

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org