文件名称:6_XADC

  • 所属分类:
  • 其他小程序
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2016-02-28
  • 文件大小:
  • 1.45mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • jing*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于FPGA,硬件平台:basys3,软件平台:vivado。描述语言:verilog。 实现XADC采集双路外部电压输入。-Based on the FPGA hardware platform, software platform: basys3, vivado. Descr iption: verilog. The implementation of XADC acquisition dual external voltage input.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





6_XADC\file_xadc\smg_disp.vhd

......\.........\ug480.v

......\.........\ug480.xdc

......\.........\xadc_top.v

......\lab_xadc\lab_xadc.cache\wt\synthesis.wdf

......\........\..............\..\webtalk_pa.xml

......\........\.........runs\.jobs\vrs_config_1.xml

......\........\.............\.....\vrs_config_2.xml

......\........\.............\clk_wiz_0_synth_1\.Vivado Synthesis.queue.rst

......\........\.............\.................\.vivado.begin.rst

......\........\.............\.................\.vivado.end.rst

......\........\.............\.................\.Xil\clk_wiz_0_propImpl.xdc

......\........\.............\.................\clk_wiz_0.dcp

......\........\.............\.................\clk_wiz_0.tcl

......\........\.............\.................\clk_wiz_0.vds

......\........\.............\.................\clk_wiz_0_utilization_synth.pb

......\........\.............\.................\clk_wiz_0_utilization_synth.rpt

......\........\.............\.................\dont_touch.xdc

......\........\.............\.................\gen_run.xml

......\........\.............\.................\htr.txt

......\........\.............\.................\ISEWrap.js

......\........\.............\.................\ISEWrap.sh

......\........\.............\.................\project.wdf

......\........\.............\.................\rundef.js

......\........\.............\.................\runme.bat

......\........\.............\.................\runme.log

......\........\.............\.................\runme.sh

......\........\.............\.................\vivado.jou

......\........\.............\.................\vivado.pb

......\........\.............\impl_1\.init_design.begin.rst

......\........\.............\......\.init_design.end.rst

......\........\.............\......\.opt_design.begin.rst

......\........\.............\......\.opt_design.end.rst

......\........\.............\......\.place_design.begin.rst

......\........\.............\......\.place_design.end.rst

......\........\.............\......\.route_design.begin.rst

......\........\.............\......\.route_design.end.rst

......\........\.............\......\.Vivado Implementation.queue.rst

......\........\.............\......\.vivado.begin.rst

......\........\.............\......\.vivado.end.rst

......\........\.............\......\.write_bitstream.begin.rst

......\........\.............\......\.write_bitstream.end.rst

......\........\.............\......\gen_run.xml

......\........\.............\......\htr.txt

......\........\.............\......\init_design.pb

......\........\.............\......\ISEWrap.js

......\........\.............\......\ISEWrap.sh

......\........\.............\......\opt_design.pb

......\........\.............\......\place_design.pb

......\........\.............\......\project.wdf

......\........\.............\......\route_design.pb

......\........\.............\......\rundef.js

......\........\.............\......\runme.bat

......\........\.............\......\runme.log

......\........\.............\......\runme.sh

......\........\.............\......\usage_statistics_webtalk.html

......\........\.............\......\usage_statistics_webtalk.xml

......\........\.............\......\vivado.jou

......\........\.............\......\vivado.pb

......\........\.............\......\write_bitstream.pb

......\........\.............\......\xadc_top.bit

......\........\.............\......\xadc_top.tcl

......\........\.............\......\xadc_top.vdi

......\........\.............\......\xadc_top_clock_utilization_placed.rpt

......\........\.............\......\xadc_top_control_sets_placed.rpt

......\........\.............\......\xadc_top_drc_routed.pb

......\........\.............\......\xadc_top_drc_routed.rpt

......\........\.............\......\xadc_top_io_placed.rpt

......\........\.............\......\xadc_top_opt.dcp

......\........\.............\......\xadc_top_placed.dcp

......\........\.............\......\xadc_top_power_routed.rpt

......\........\.............\......\xadc_top_power_summary_routed.pb

......\........\.............\

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org