文件名称:IC_design

  • 所属分类:
  • 3G开发
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2016-10-21
  • 文件大小:
  • 36.93mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 徐**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

《通信IC设计》一书的随书代码,作者是从事芯片工作,提供了大量的通信底层算法的FPGA代码以及wifi,lte的matlab代码-" Communication IC Design," a book with the book code, the author is engaged in the work of the chip, providing a large number of communication underlying algorithms FPGA code and wifi, lte matlab code
(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间


52551通信IC设计代码\随机代码\完整的几个工程\Altera_多种算法的说明和源码(CORDICECC8B10BAES)\arbitration\arbiter.v
....................\........\..............\.................................................\...........\arbiter_tb.v
....................\........\..............\.................................................\...........\bitscan.v
....................\........\..............\.................................................\...........\bitscan_tb.v
....................\........\..............\.................................................\...........\log2.inc
....................\........\..............\.................................................\...........\prio_encode.cpp
....................\........\..............\.................................................\...........\prio_encode.v
....................\........\..............\.................................................\...........\tx_4channel_arbiter.v
....................\........\..............\.................................................\...........\tx_4channel_arbiter_tb.sv
....................\........\..............\.................................................\..ithmetic\adder_tree.v
....................\........\..............\.................................................\..........\adder_tree_layer.v
....................\........\..............\.................................................\..........\adder_tree_node.v
....................\........\..............\.................................................\..........\adder_tree_tb.v
....................\........\..............\.................................................\..........\addsub.v
....................\........\..............\.................................................\..........\basic_adder.v
....................\........\..............\.................................................\..........\compress_32.v
....................\........\..............\.................................................\..........\cordic.v
....................\........\..............\.................................................\..........\cordic_angle_table.cpp
....................\........\..............\.................................................\..........\cordic_tb.v
....................\........\..............\.................................................\..........\divider.v
....................\........\..............\.................................................\..........\divider_tb.v
....................\........\..............\.................................................\..........\double_addsub.v
....................\........\..............\.................................................\..........\double_addsub_tb.v
....................\........\..............\.................................................\..........\iter_addsub.v
....................\........\..............\.................................................\..........\karatsuba_mult.v
....................\........\..............\.................................................\..........\karatsuba_mult_tb.v
....................\........\..............\.................................................\..........\lc_mult_signed.v
....................\........\..............\.................................................\..........\lc_mult_signed_tb.v
....................\........\..............\.................................................\..........\log2.inc
....................\........\..............\.................................................\..........\make_comp.cpp
....................\........\..............\.................................................\..........\make_sum.cpp
....................\........\..............\.................................................\..........\mult_3tick.v
....................\........\..............\.................................................\..........\mult_shift.v
....................\........\..............\.................................................\..

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org