文件名称:VGA

  • 所属分类:
  • 图片显示浏览
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2017-04-17
  • 文件大小:
  • 2.03mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • k**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

FPGA verilog写的关于vGA的显示程序,使用vivado编程环境-FPGA verilog written on the vGA display program, the use of vivado programming environment
(系统自动生成,下载前可以参看下载内容)

下载文件列表





VGA\file_vga\vga.v

...\........\vga.xdc

...\lab_vga\hs_err_pid4488.log

...\.......\lab_vga.cache\wt\java_command_handlers.wdf

...\.......\.............\..\synthesis.wdf

...\.......\.............\..\webtalk_pa.xml

...\.......\........runs\.jobs\vrs_config_1.xml

...\.......\............\.....\vrs_config_10.xml

...\.......\............\.....\vrs_config_11.xml

...\.......\............\.....\vrs_config_12.xml

...\.......\............\.....\vrs_config_13.xml

...\.......\............\.....\vrs_config_14.xml

...\.......\............\.....\vrs_config_15.xml

...\.......\............\.....\vrs_config_2.xml

...\.......\............\.....\vrs_config_3.xml

...\.......\............\.....\vrs_config_4.xml

...\.......\............\.....\vrs_config_5.xml

...\.......\............\.....\vrs_config_6.xml

...\.......\............\.....\vrs_config_7.xml

...\.......\............\.....\vrs_config_8.xml

...\.......\............\.....\vrs_config_9.xml

...\.......\............\clk_wiz_0_synth_1\.Vivado Synthesis.queue.rst

...\.......\............\.................\.vivado.begin.rst

...\.......\............\.................\.vivado.end.rst

...\.......\............\.................\.Xil\clk_wiz_0_propImpl.xdc

...\.......\............\.................\clk_wiz_0.dcp

...\.......\............\.................\clk_wiz_0.tcl

...\.......\............\.................\clk_wiz_0.vds

...\.......\............\.................\clk_wiz_0_utilization_synth.pb

...\.......\............\.................\clk_wiz_0_utilization_synth.rpt

...\.......\............\.................\dont_touch.xdc

...\.......\............\.................\gen_run.xml

...\.......\............\.................\htr.txt

...\.......\............\.................\ISEWrap.js

...\.......\............\.................\ISEWrap.sh

...\.......\............\.................\project.wdf

...\.......\............\.................\rundef.js

...\.......\............\.................\runme.bat

...\.......\............\.................\runme.log

...\.......\............\.................\runme.sh

...\.......\............\.................\vivado.jou

...\.......\............\.................\vivado.pb

...\.......\............\impl_1\.init_design.begin.rst

...\.......\............\......\.init_design.end.rst

...\.......\............\......\.opt_design.begin.rst

...\.......\............\......\.opt_design.end.rst

...\.......\............\......\.place_design.begin.rst

...\.......\............\......\.place_design.end.rst

...\.......\............\......\.route_design.begin.rst

...\.......\............\......\.route_design.end.rst

...\.......\............\......\.Vivado Implementation.queue.rst

...\.......\............\......\.vivado.begin.rst

...\.......\............\......\.vivado.end.rst

...\.......\............\......\.write_bitstream.begin.rst

...\.......\............\......\.write_bitstream.end.rst

...\.......\............\......\gen_run.xml

...\.......\............\......\htr.txt

...\.......\............\......\init_design.pb

...\.......\............\......\ISEWrap.js

...\.......\............\......\ISEWrap.sh

...\.......\............\......\opt_design.pb

...\.......\............\......\place_design.pb

...\.......\............\......\project.wdf

...\.......\............\......\route_design.pb

...\.......\............\......\rundef.js

...\.......\............\......\runme.bat

...\.......\............\......\runme.log

...\.......\............\......\runme.sh

...\.......\............\......\usage_statistics_webtalk.html

...\.......\............\......\usage_statistics_webtalk.xml

...\.......\............\......\vga.bit

...\.......\............\......\vga.dcp

...\.......\............\......\vga.tcl

...\.......\............\......\vga.vdi

...\.......\............\......\vga_1872.backup.vdi

...\.......\............\......\vga_2672.backup.vdi

...\.......\............\......\vga_3956.backup.vdi

...\.......\............\......\vga_clock_utilization_placed.rpt

...\.......\............\......\vga_control_sets_placed.rpt

...\.......\............\......\vga_drc_routed.pb

...\.......\............\....

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org