文件名称:最近邻插值的实现

介绍说明--下载内容均来自于网络,请自行研究使用

最近邻插值法nearest_neighbor是最简单的灰度值插值。也称作零阶插值,就是令变换后像素的灰度值等于距它最近的输入像素的灰度值。(Nearest neighbor interpolation method, nearest_neighbor is the simplest gray value interpolation. Also called zero order interpolation, that is, the gray value of the transformed pixel is equal to the gray value of the nearest input pixel.)
相关搜索: 最近邻插值的实现

(系统自动生成,下载前可以参看下载内容)

下载文件列表

最近邻插值的实现\.vs\最近邻插值的实现\v14\.suo

最近邻插值的实现\Debug\最近邻插值的实现.exe

最近邻插值的实现\Debug\最近邻插值的实现.ilk

最近邻插值的实现\Debug\最近邻插值的实现.pdb

最近邻插值的实现\最近邻插值的实现\Debug\main.obj

最近邻插值的实现\最近邻插值的实现\Debug\vc140.idb

最近邻插值的实现\最近邻插值的实现\Debug\vc140.pdb

最近邻插值的实现\最近邻插值的实现\Debug\最近邻插值的实现.log

最近邻插值的实现\最近邻插值的实现\Debug\最近邻插值的实现.tlog\CL.read.1.tlog

最近邻插值的实现\最近邻插值的实现\Debug\最近邻插值的实现.tlog\CL.write.1.tlog

最近邻插值的实现\最近邻插值的实现\Debug\最近邻插值的实现.tlog\link.read.1.tlog

最近邻插值的实现\最近邻插值的实现\Debug\最近邻插值的实现.tlog\link.write.1.tlog

最近邻插值的实现\最近邻插值的实现\Debug\最近邻插值的实现.tlog\最近邻插值的实现.lastbuildstate

最近邻插值的实现\最近邻插值的实现\main.cpp

最近邻插值的实现\最近邻插值的实现\最近邻插值的实现.vcxproj

最近邻插值的实现\最近邻插值的实现\最近邻插值的实现.vcxproj.filters

最近邻插值的实现\最近邻插值的实现.sln

最近邻插值的实现\最近邻插值的实现.VC.db

最近邻插值的实现\.vs\最近邻插值的实现\v14

最近邻插值的实现\最近邻插值的实现\Debug\最近邻插值的实现.tlog

最近邻插值的实现\.vs\最近邻插值的实现

最近邻插值的实现\最近邻插值的实现\Debug

最近邻插值的实现\.vs

最近邻插值的实现\Debug

最近邻插值的实现\最近邻插值的实现

最近邻插值的实现

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org