文件名称:FPGA-OFDM-VHDL

  • 所属分类:
  • 通讯/手机编程
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 2.64mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • w***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

something useful for communication,source code based on FPGA-something useful for communication. source code based on FPGA
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 71477211fpga-ofdm-vhdl.rar 列表
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\blockdram.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\cfft.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\cfft4.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\cfft_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\conj.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\counter.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\div4limit.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\FPGA Implementation of an OFDM Modem.ppt
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\input.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\interface.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\inv_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\io_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\juntos.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\modem.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\modem_summary.html
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\mulfactor.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\mux.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\mux_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\OFDM - portuguese.pdf
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.ise
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.ise_ISE_Backup
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.npl
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.npl_ISE_Backup
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm_ise7_bak.zip
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\outconvert.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\output.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\p2r_cordic.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\p2r_CordicPipe.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\parallel.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\qam.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\qamdecoder.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ram.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ram_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\rofactor.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\rxmodem.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\sc_corproc.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\serial.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\serparser.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\starts.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\startup_timer.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\transcript
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\txmodem.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\txrx.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\tx_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\_xmsgs
基于FPGA的OFDM信号传输系统VHDL源码\ofdm
基于FPGA的OFDM信号传输系统VHDL源码

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org