搜索资源列表

  1. wuliu

    0下载:
  2. 基于J2EE的物流信息系统的设计与实现 介绍了J2EE 体系结构、Mv c模式等相关概念和技术,并重点探讨了 目 前比 较受欢迎的三种开源框架( s t r ut s框架、S Pr i n g框架和H i b e m a t e 框架)。 分析了他们的体系结构、 特点和优缺点。 根据J ZE E的分层结构,结合We b应用 的特点, 将三种框架进行组合设计, 即表现层用S t r ut s框架、 业务逻辑层用S P
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:2838290
    • 提供者:zhx
  1. CCS_c_asm

    0下载:
  2. CCS集成环境下C与汇编的混合编程实现.本文时在TI奢司提供的CCS集成开发环境下,编写采用C与汇编的混合缟程实现的 工程设计程序需要注意的问题,做了较完整的论述。详细地介绍了C与汇编的混合编程接口, 并给出了实例
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:155795
    • 提供者:钟炜
  1. pageadmi

    0下载:
  2. 采用ASP.NET2.0开发,管理方便 常用的功能模块,可自由调用在任意栏目调用 后台可视化编辑,简单容易操作 主要功能模块: 1、信息文章发布 2、产品发布 3、栏目文章编辑 4、购物车系统 5、留言反馈 更多模块不段开发中,用户可免费更新 1、网站管理后台地址:http://域名/master/login.aspx 默认管理员:admin 密码:admin 2、第一次运行时,请
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:2453469
    • 提供者:kinglt
  1. BasedontheCPLDliquidcrystaldisplaycontrolsystemdes

    0下载:
  2. LCD 因其轻薄短小,低功耗,无辐射,平面 直角显示,以及影像稳定等特点,当今应用非常 广泛。CPLD(复杂可编程逻辑器件) 是一种具有 丰富可编程功能引脚的可编程逻辑器件,不仅可 实现常规的逻辑器件功能,还可以实现复杂而独 特的时序逻辑功能。并且具有ISP (在线可编 程) [1 ] 功能,便于进行系统设计和现场对系统进 行功能修改、调试、升级。通常CPLD 芯片都有 着上万次的重写次数,即用CPLD[
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:167311
    • 提供者:hjh
  1. DSP

    0下载:
  2. 该文设计的 D S P最小系统可应用于教学,本科生通过在此硬件平台上实现 F S K的调 制及 F I R滤波器的实现两个实验,可以掌握 D S P硬件调试方法,增加对 D S P开发过程,以及 D S P基本 算 法 实现 的理 解。文 中所 设计 的 D S P最 小 系统 由 T I公 司 的定 点 D S P芯 片 T MS 3 2 0 V C 5 4 0 2及其相关电源和时钟电路 、片外扩展存储 器、A /
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:159871
    • 提供者:梁微明
  1. STK_手册

    0下载:
  2. STK是AGI共司开发的一套工具软件,主要用于飞行器的仿真设计工作,这个手册提供了Satellite Tool Kit(STK)的基本用法和功能描述,并且是简体中文版的。
  3. 所属分类:文档资料

  1. 051121

    0下载:
  2. 完整的VB和单片机系统连接的源代码,是给一个公司开发的产品-integrity of VB and SCM system linking the source code, it is for a company to develop products
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-12
    • 文件大小:219136
    • 提供者:尹成科
  1. NIUMAG_Source

    0下载:
  2. 本软件是我公司开发的具有实际用途即核磁共振含油率测试分析的源代码。-The software is developed with the actual use of the rate of oil that NMR analysis of the test source code.
  3. 所属分类:其他行业

    • 发布日期:2024-06-12
    • 文件大小:799744
    • 提供者:杨培强
  1. CCS_c_asm

    0下载:
  2. CCS集成环境下C与汇编的混合编程实现.本文时在TI奢司提供的CCS集成开发环境下,编写采用C与汇编的混合缟程实现的 工程设计程序需要注意的问题,做了较完整的论述。详细地介绍了C与汇编的混合编程接口, 并给出了实例-CCS integrated environment of mixed C and Assembly programming. TI extravagance when this article provided b
  3. 所属分类:软件工程

    • 发布日期:2024-06-12
    • 文件大小:155648
    • 提供者:钟炜
  1. BasedontheCPLDliquidcrystaldisplaycontrolsystemdes

    0下载:
  2. LCD 因其轻薄短小,低功耗,无辐射,平面 直角显示,以及影像稳定等特点,当今应用非常 广泛。CPLD(复杂可编程逻辑器件) 是一种具有 丰富可编程功能引脚的可编程逻辑器件,不仅可 实现常规的逻辑器件功能,还可以实现复杂而独 特的时序逻辑功能。并且具有ISP (在线可编 程) [1 ] 功能,便于进行系统设计和现场对系统进 行功能修改、调试、升级。通常CPLD 芯片都有 着上万次的重写次数,即用CPLD[
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:166912
    • 提供者:hjh
  1. ZLGEasyARM

    0下载:
  2. 这是周立功单片机公司开发的一个串口调试软件,希望对大家有用。-This is the week Ligong developed a single-chip serial debugging software, useful for all of us hope.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-12
    • 文件大小:226304
    • 提供者:天地
  1. ThreadX_PIC32_Demo

    0下载:
  2. ThreadX是Express Logic公司开发的实时操作系统,占用内存资源非常少,甚至可以在8位单片机上使用。这是在PIC32上的移植。-ThreadX is Express Logic has developed a real-time operating system, memory resources, very few can even use single-chip 8. This is the PIC32 transpl
  3. 所属分类:操作系统开发

    • 发布日期:2024-06-12
    • 文件大小:2988032
    • 提供者:jf
  1. czgl

    0下载:
  2. 称重管理系统是一套结合电子衡器日常操作习惯和企业计量管理要求专业开发的微机称重管理软件。本系统面向非专业操作人员编写,界面友好直观,操作流程完全等同于司磅人员的日常操作习惯,操作人员无需培训即可参照软件简单明了的人机交互界面投入实际应用,软件具备完善的数据安全检测恢复机制,对操作、管理人员没有任何特殊的素质要求。针对当前许多厂矿企业对衡的综合管理的要求,杜绝人为因素,确保计量管理的科学性与准确性 MS Access 数据库实现的中小型局
  3. 所属分类:其他小程序

    • 发布日期:2024-06-12
    • 文件大小:6010880
    • 提供者:孙博
  1. UCM

    0下载:
  2. U盘文件拷贝大师(简称UCM)是沈阳飞入科技网络发展有限公司开发的一款开源软件。 UCM能自动将插到你计算机的任何类型的优盘或者数码照相机的指定文件类型或全部文件复制到指定的文件夹。程序可以隐藏运行,全自动高速复制。U盘一插入计算机USB口就进行自动拷贝,让您充分享受零等待的快感!UCM可设置只复制指定后缀的文件类型。如果您没有设置UCM隐藏于后台运行,在正在拷贝U盘的时候,UCM界面当前状态栏会显示目前正在复制的文件。UCM是绿色软
  3. 所属分类:其他小程序

    • 发布日期:2024-06-12
    • 文件大小:119808
    • 提供者:vivlong
  1. STK-manaul

    0下载:
  2. 这个手册提供了Satellite Tool Kit(STK)的基本用法和功能描述,STK是AGI共司开发的一套工具软件。-This manual provides Satellite Tool Kit (STK) describe the basic usage and features, STK is the AGI of a software tool developed by the Division.
  3. 所属分类:文档资料

    • 发布日期:2024-06-12
    • 文件大小:974848
    • 提供者:frain
  1. SOFTWELL

    0下载:
  2. 车牌识别源代码是专为从事车牌识别软件产品开发的客户而设计的软件开发包。采用国际领先的计算机视觉和图像处理算法,结合国际领先的神经网络算法,我司车牌识别采用模块的方式提供车牌识别功能的软件。具有高速的识别速度和可信识别正确率,以减轻各开发商的开发成本,提高其竞争力。适用于城市交通管理、超速监控、公路收费、停车场管理、被盗车辆侦破、等应用开发。-License plate recognition source code is designe
  3. 所属分类:图形/文字识别

    • 发布日期:2024-06-12
    • 文件大小:2797568
    • 提供者:王振
  1. c_pointer

    0下载:
  2. 很好的资料。对于学习C指针来说。是一个工程司写的开发笔记-it will help you to learn c programme
  3. 所属分类:软件工程

    • 发布日期:2024-06-12
    • 文件大小:28672
    • 提供者:qs
  1. diercishiyan

    0下载:
  2. 我司通原F1型实验箱的二次开发功能是让用户通过自主设计,加深对有关实验内容所涉及的理论知识的理解和掌握。用户在掌握CPLD和DSP开发技术的基础上,以我司提供的实验箱为硬件,自主实现我司通原F1型实验箱已有的及相关的功能。-Our former F1 experimental me through secondary development function is to allow users to independently desi
  3. 所属分类:技术管理

    • 发布日期:2024-06-12
    • 文件大小:1612800
    • 提供者:XXX
  1. LC329WiFi-video-transmission-module

    0下载:
  2. LC329是我司为工业红外相机定制开发的一款基于WIFI的无线图像传输模块。 LC329采用美国进口工业级图像处理芯片,最大程度保证图像清晰,色彩还原度高。 LC329支持NTSC/PAL制式的视频信号,能完整流程传输720x480@30帧 /720x576@25帧图像,并且该模块采用了多种业界顶尖的视频处理算法如:3D去隔 行,3D去噪滤波,H264编码等。 LC329还预留有GPIO和工业串口等扩展端口,方便其与
  3. 所属分类:软件工程

    • 发布日期:2024-06-12
    • 文件大小:1084416
    • 提供者:张军
  1. yuanmaSeashellbeikedanciAPP

    2下载:
  2. 注意:本项目是基于android studio开发的,eclipse可能无法直接导入。 本项目是一个非常完美的安卓背单词项目源码,本项目是早期版本的开源,现在正式版本一直在商用所以没有开源。下面是作者的介绍: 「贝壳单词」,是一款可让用户在完全无压力地情况下稳步提高英语水平的APP,它将单词显示在了利用率最高的『通知栏静默消息』和干扰性与打扰性非常低的『全局吐司消息』中, 不用撇开其他事情,高效又非常无压力, 让你在不知不觉中
  3. 所属分类:android开发

    • 发布日期:2024-06-12
    • 文件大小:5938176
    • 提供者:林东梁
« 12 3 »

源码中国 www.ymcn.org