搜索资源列表

  1. 4

    0下载:
  2. 双四选一数据选择器74LS153,1、写一个程序,用顺序描述语句和并发描述语句(选择信号代入语句或者条件信号代入语句)分别控制74LS153的一个输出端Q。 2、比较一下顺序语句与并行语句各自的优缺点。 输入:逻辑开关。输出:LED灯。 -A double four election data selector 74LS153, 1, write a program, with sequential and concurre
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:2048
    • 提供者:李小勇
  1. double_mux4_1

    0下载:
  2. 设计一个双四选一的数据选择器电路 设计要求: (1)双四选一的数据选择器的电路框图如图3.2.3所示,试写出设计块对其逻辑功能进行描述。 -Choose a design of a dual quad data selector circuit design requirements: (1) a double four selected data selector circuit diagram shown in
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:133120
    • 提供者:mowensui
  1. mux_4d

    0下载:
  2. 利用元件例化设计的4位4选一数据选择器。(包含了一位四选一数据选择器的设计)-Use components instantiated design four 4 choose a data selector. (including a four choose a multiplexer design)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:161792
    • 提供者:伍利衡
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:4096
    • 提供者:wanghao
  1. mux41

    0下载:
  2. 四选一数据选择器(四个输入选择一个输出)(Four select a data selector)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:300032
    • 提供者:木七渊

源码中国 www.ymcn.org