搜索资源列表

  1. vbextpcls

    0下载:
  2. vbextpcls--for VB6,透明贴图模组-vbextpcls -- for VB6, transparent mapping module
  3. 所属分类:GDI/图象编程

    • 发布日期:2008-10-13
    • 文件大小:117244
    • 提供者:王明仁
  1. studytools

    0下载:
  2. 完全基于java开发的svg矢量绘图工具,该源代码可以应用于专业(图模、gis等)图形绘制工具研究、参考。强烈推荐
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:5136751
    • 提供者:jxxhwy
  1. 二值掩模法时行声音去燥

    0下载:
  2. 对吵杂环境下的声音先进行时-频转换,从而得到音频文件的看起来就像图像的时频表示,然后再使用二值掩模算法去掉我们不需要的燥音,最后得到理想状态下基本无燥音的时频图
  3. 所属分类:源码下载

    • 发布日期:2011-10-31
    • 文件大小:902870
    • 提供者:chenshasha1989
  1. riri

    0下载:
  2. ADuC812与8052模数转换实例 先进的单片机平台 可以做很多东西 比如 光电心率测量仪-ADuC812 and 8052 analog-digital conversion examples of advanced microcontroller platform can do many things such as heart rate Photoelectric Instrument
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:韩轩
  1. 图像处理11

    0下载:
  2. matlab的图像处理,包括直方图,图像变换,模 板(空域滤波图象增强和边缘检测算子的应用)-Matlab image processing, including the histogram, image transformation, templates (spatial filter image enhancement and edge detection operators applications)
  3. 所属分类:matlab例程

    • 发布日期:2024-06-05
    • 文件大小:8192
    • 提供者:陈大明
  1. vbextpcls

    0下载:
  2. vbextpcls--for VB6,透明贴图模组-vbextpcls-- for VB6, transparent mapping module
  3. 所属分类:GDI/图象编程

    • 发布日期:2024-06-05
    • 文件大小:116736
    • 提供者:王明仁
  1. GIF

    0下载:
  2. 利用模态对话框在单文档视结构中显示位图资源-use Modal Dialog in single file structure, as shown Bitmap resources
  3. 所属分类:图片显示浏览

    • 发布日期:2024-06-05
    • 文件大小:646144
    • 提供者:dm
  1. studytools

    0下载:
  2. 完全基于java开发的svg矢量绘图工具,该源代码可以应用于专业(图模、gis等)图形绘制工具研究、参考。强烈推荐-Java based entirely on the development of svg vector drawing tools, the source code can be applied to professional (Figure modulus, gis, etc.) Rendering Tool, ref
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-06-05
    • 文件大小:5136384
    • 提供者:jxxhwy
  1. zuihou

    0下载:
  2. 51系统板原理图按键显示数模模数等原理图-51 system board schematic diagram shows the number of keys modulus modulus, such as schematics
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:532480
    • 提供者:
  1. ADC0808

    0下载:
  2. 51 单片机支持adc0808模数转换电路和源程序,可用PROTEUS仿真,由仿真原理图和源程序 -51 single-chip support adc0808 analog-digital conversion circuits and source code that can be used PROTEUS simulation, by simulation schematic and source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:21504
    • 提供者:feng
  1. dynamic

    0下载:
  2. 该建筑为一幢六层现浇钢筋混泥土框架房屋,屋顶有局部突出的楼梯间和水箱间。混泥土强度等级:梁为C20,柱为C25。混凝土密度为2500kg/m3 本题目将对该梁柱结构的框架房屋进行模态分析,求解出该结构的前8阶固有频率及其对应的模态振型。框架的平、剖面见图1,图2。构件尺寸参见表1、表2。 其材料为混凝土,相关参数为:杨氏模量C20为2.55e10N/m2 ,C25为2.8e10 N/m -The building for
  3. 所属分类:文档资料

    • 发布日期:2024-06-05
    • 文件大小:220160
    • 提供者:王彦明
  1. cma

    0下载:
  2. 描述恒模算法的一个仿真程序,利用星座图比较性能-Constant modulus algorithm describes a simulation program, using comparative performance of the constellation diagram
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:李昌
  1. edge_detection

    0下载:
  2. 用matlab程序实现:小波模极大值用于边缘提取。对matlab中常用的woman图进行仿真,从最后的结果仿真图看出模极大值用于边缘检测的作用。-Matlab procedures used to achieve: Wavelet Modulus Maxima for edge detection. Matlab commonly used on the woman plans to carry out simulation, simu
  3. 所属分类:matlab例程

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:
  1. cma_16QAM_blind_equalization

    0下载:
  2. 此代码产生一个16QAM信号,通过高斯白噪声信道后,对其使用常模盲均衡算法,最后输出星座图和收敛图。-This code generates a 16QAM signal, through the white Gaussian noise channel, the norm for its use of blind equalization algorithm, the final output constellation map an
  3. 所属分类:matlab例程

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:胡建红
  1. SVG

    0下载:
  2. 本程序是基于SVG实现的电力系统主接线图模动态拓扑,实现了主接线的动态拓扑,在线重合闸等操作。-This procedure is based on the SVG of the power system to achieve the main wiring diagram of the dynamic mode topology, the main cable to achieve the dynamic topology, and
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:22528
    • 提供者:ZHAOJIE
  1. PSASP7.0版图模一体化平台用户手册

    0下载:
  2. psasp7.1图模一体化平台使用手册,中国电力科学研究院,2010年6月(Psasp7.1 graphical integrated platform manual, China Electric Power Research Institute, June 2010)
  3. 所属分类:能源行业(电力石油煤炭)

    • 发布日期:2024-06-05
    • 文件大小:6289408
    • 提供者:zhang881983
  1. 刘金琨滑模控制第三版上下部最新程序

    1下载:
  2. 刘金琨编著的《滑模变结构控制matlab仿真(第三版)先进控制系统设计方法》,《滑模变结构控制matlab仿真(第三版)基本理论与设计方法》MATLAB程序,滑模控制第三版上下部MATLAB程序代码;刘金琨滑模控制第三版上下部最新程序,对照程序可以复现书中的仿真图(Matlab simulation for sliding mode control edited by Liu Jinkun "(Third Edition)&q
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:1367040
    • 提供者:平常心1204
  1. 刘金琨滑模变结构控制英文版书籍仿真程序

    0下载:
  2. 刘金琨老师滑模变结构控制英文版书籍仿真程序,按照程度代码可以复现书中的仿真图。(Liu Jinkun slip form variable structure control English version of the book simulation program, according to the degree code can be reprinted in the simulation of the book.)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:394240
    • 提供者:平常心1204
  1. 轴向模螺旋天线主模(普通端射模式)的方向图

    0下载:
  2. 轴向模螺旋天线主模(普通端射模式)的方向图,MATLAB代码(Directional pattern of axial mode helical antenna main mode (common end fire mode), MATLAB code)
  3. 所属分类:文档资料

    • 发布日期:2024-06-05
    • 文件大小:9216
    • 提供者:木星三号
  1. miillingSLD

    0下载:
  2. 输入各模态参数,即可获得铣削稳定性叶瓣图(SLD)(By inputting the modal parameters, andthe milling stability lobe diagram can be obtained.)
  3. 所属分类:matlab例程

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:reyon
« 12 3 4 5 6 7 8 9 10 ... 18 »

源码中国 www.ymcn.org