搜索资源列表

  1. shukongfenpinqi

    0下载:
  2. 数控分频器设计:对于一个加法计数器,装载不同的计数初始值时,会有不同频率的溢出输出信号。计数器溢出时,输出‘1’电平,同时溢出时的‘1’电平反馈给计数器的输入端作为装载信号;否则输出‘0’电平。 -NC divider design : an adder counter, loading the initial count value, have different frequency output signal of the ov
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:797
    • 提供者:空气
  1. expt53_dvf

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA数控分频器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:39274
    • 提供者:多幅撒
  1. frequent

    0下载:
  2. 基于vhdl的数控分频器设计的源代码及仿真
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:100224
    • 提供者:hlj1232123
  1. VHDL-XILINX-EXAMPLE26

    0下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC08
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. work4dvf

    0下载:
  2. 数控分频器的设计数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:33648
    • 提供者:lkiwood
  1. 数控分频器

    0下载:
  2. 数控分频器,可自主选择分频系数
  3. 所属分类:VHDL编程

  1. shukongfenpinqi

    0下载:
  2. 数控分频器设计:对于一个加法计数器,装载不同的计数初始值时,会有不同频率的溢出输出信号。计数器溢出时,输出‘1’电平,同时溢出时的‘1’电平反馈给计数器的输入端作为装载信号;否则输出‘0’电平。 -NC divider design : an adder counter, loading the initial count value, have different frequency output signal of the ov
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:1024
    • 提供者:空气
  1. expt53_dvf

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA数控分频器-FPGA and SOPC based on the use of VHDL language EDA NC prescaler
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-21
    • 文件大小:38912
    • 提供者:多幅撒
  1. frequent

    0下载:
  2. 基于vhdl的数控分频器设计的源代码及仿真-Divider based on the NC VHDL design source code and simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:100352
    • 提供者:hlj1232123
  1. VHDL-XILINX-EXAMPLE26

    0下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC08
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:3687424
    • 提供者:hawd
  1. work4dvf

    0下载:
  2. 数控分频器的设计数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。-NC NC divider divider design of its function is when the input given different input data, input the clock signal wil
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:33792
    • 提供者:lkiwood
  1. dzq

    0下载:
  2. 利用数控分频器设计硬件电子琴.硬件电子琴电路模块设计-Use hardware organ NC divider design. Hardware electric circuit module design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:680960
    • 提供者:asd
  1. shukongfenpin

    0下载:
  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成 果的可修改性和可移植性都较差。基于VHDL 的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。-NC divider output signal frequency is a function of input data. Usin
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:174080
    • 提供者:邱颖
  1. fredevide

    0下载:
  2. 用FPGA仿真实现数控分频器,完整的工程文件-FPGA simulation of nc prescalar, including complete project files
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:145408
    • 提供者:Yolanda
  1. fdiv

    0下载:
  2. 基于Quartus II的数控分频器的项目设计,实现对时钟信号的任意进制分频,包含了项目文件和VHDL源代码-NC-based prescaler Quartus II project design, implementation of the clock signal of arbitrary frequency band, including the project files and VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:183296
    • 提供者:xiexuan
  1. DVF

    0下载:
  2. 数控分频器的设计数控分频器 端口定义: CLK:时钟输入 D[7..0]:预置数据 Fout:分频输出 说明: D[7..0]作为8位加1计数器的初值,初值越大,分频输出频率越高,反之越低, -NC NC divider divider port the definition of design: CLK: Clock input D [7 .. 0]: preset data Fout: freque
  3. 所属分类:Windows编程

    • 发布日期:2024-06-21
    • 文件大小:1024
    • 提供者:张娟
  1. ghzfchsa

    0下载:
  2. 数控分频器,可实现50m以内任意整数分频-NC divider can be realized within 50m of arbitrary integer frequency
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:164864
    • 提供者:张志刚
  1. FPQ

    0下载:
  2. 基于FPGA的数控分频器,可以吧一个时钟信号分成不同频率的时钟信号。-FPGA-based digital frequency divider, a clock signal can now be divided into different frequency clock signals.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:3072
    • 提供者:
  1. experiment6

    0下载:
  2. VHDL课程实验6,数控分频器的设计。对应不同的输入信号,预置数(初始计数值)设定不同的值,计数器以此预置数为初始状态进行不同模值的计数,当计数器的状态全为1时,计数器输出溢出信号。用计数器的溢出信号作为输出信号或输出信号的控制值,使输出信号的频率受控于输入的预置数-VHDL course experiment 6, NC Divider. Corresponding to different input signals, the se
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-21
    • 文件大小:190464
    • 提供者:童长威
  1. project code5

    0下载:
  2. 数控分频器的verilog代码在eda上实现(verilog for numerical control divider)
  3. 所属分类:Windows编程

    • 发布日期:2024-06-21
    • 文件大小:2863104
    • 提供者:kaikai894
« 12 3 »

源码中国 www.ymcn.org