搜索资源列表

  1. 实时时钟-单片机

    0下载:
  2. 采用定时器中断的方法,设计一个一天24小时进制的实时时钟;用6个发光二极管分别显示时、分、秒的记时; 能进行整点报时。可以从键盘中预置、修改时钟值。含有硬件设计图-timer interruption using the method to design a band 24 hours a day in real-time clock; With six light-emitting diodes showed the hours, m
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:43957
    • 提供者:刘印亮
  1. 实时时钟-单片机

    0下载:
  2. 采用定时器中断的方法,设计一个一天24小时进制的实时时钟;用6个发光二极管分别显示时、分、秒的记时; 能进行整点报时。可以从键盘中预置、修改时钟值。含有硬件设计图-timer interruption using the method to design a band 24 hours a day in real-time clock; With six light-emitting diodes showed the hours, m
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:44032
    • 提供者:刘印亮
  1. 新的代码

    0下载:
  2. 此文件是用汇编语言编写的数字钟原程序,它包括时钟显示、整点报时和闹钟部分。-this document is a compilation of language digital clock original program, which includes clock, the whole point timer and alarm clock parts.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:3072
    • 提供者:陈夫子
  1. SpeechClock(SPCE061A)

    1下载:
  2. SpeechClock是在凌阳提供的电子钟的范例的基础之上实现的电子时钟的源程序 调试环境:unSPIDE184 运行设备:SPCE061A+LED&KEY模板 功能:时钟显示(日期与时间) LED显示 电子报时、整点报时、闹钟 使用说明:B口b0-b7 连 DIG b8-b15连 SEG 按S0键报时,按S1或S2键切换显示(时间或日期) 长按S0键进入校时状态,在这个状态下,按S0切换校
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:328704
    • 提供者:张玉峰
  1. clock_VHDL

    0下载:
  2. VHDL设计的数字时钟,有闹钟、整点报时等功能-VHDL design of the digital clock has an alarm clock, the whole point timekeeping functions
  3. 所属分类:其他行业

    • 发布日期:2024-06-16
    • 文件大小:510976
    • 提供者:王毅诚
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次
  3. 所属分类:压缩解压

    • 发布日期:2024-06-16
    • 文件大小:677888
    • 提供者:luoliang
  1. C2

    0下载:
  2. 功能更加完善的基于vhdl的数字时钟设计 有秒表,时钟,时期,闹钟的功能和整点报时,时间调整,日期调整,闹钟的设定 、、、、、、、 秒表有开始,暂停,清零等功能,且只有在暂停的情况下才能清零。-Function more complete VHDL-based design of the digital clock stopwatch, clock, time, alarm clock function and the wh
  3. 所属分类:其他小程序

    • 发布日期:2024-06-16
    • 文件大小:817152
    • 提供者:张廷
  1. dianzishizhong

    0下载:
  2. 题目:电子时钟的设计 一、实验目的: 1. 掌握多位计数器相连的设计方法。 2. 掌握十进制、六十进制、二十四进制计数器的设计方法。 3. 继续巩固多位数码管的驱动及编码。 4. 掌握扬声器的驱动 5. 掌握EPLD技术的层次化设计方法 二、实验要求: 1.用时、分、秒计数显示功能,以24小时循环计时。 2.具用清零,调节小时、分钟功能。 3.具用整点报时功能。 -Title: E-c
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:167936
    • 提供者:li
  1. UP3_RTC_CLOCK

    0下载:
  2. 在UP3开发板上已经验证过的VHDL代码。精确到十分之一秒,具有闹钟,整点报时,时间可重新设置等功能,在LCD1602上显示。绝对推荐,比网上其他类似代码功能要全而且经过验证。最关键的是该代码是直接通过I2C总线来获取UP3开发板上的实时时钟芯片的时间的,当然也可以通过I2C对时钟芯片进行设置.-In the UP3 development board has been verified VHDL code. Accurate to o
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:1367040
    • 提供者:kehan
  1. clk

    0下载:
  2. 1. 完成时/分/秒的依次显示并正确计数,利用六位数码管显示; 2. 时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能; 3. 定时器:实现整点报时,通过扬声器发出高低报时声音; 4. 时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; 5. 闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃。有静音模式。 -1. Completed/min/s
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:dlkfjalk
  1. last

    0下载:
  2. 本设计主要是运用单片机设计一个最小系统——电子时钟,它具有24小时计时功能,能够对时间进行调整,并且能实现时、分、秒的显示,同时还有内设闹钟,时间到时候会响铃,响铃时可以通过按键控制延时再启动或关断。与此同时还设置了整点报时功能;并采用采用了软件去抖的方法扫描键盘,动态刷新显示数码管,并通过查表实现译码输出时间等编程方法;-This design is the use of single-chip design of a minimum
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:3072
    • 提供者:江俊
  1. clock

    0下载:
  2. 电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:2048
    • 提供者:阿飞
  1. eda

    0下载:
  2. eda课程设计报告 电子时钟 整点报时 调整时间-curriculum design EDA report the whole point of electronic time clock adjust time
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:150528
    • 提供者:需索
  1. alarmclock

    0下载:
  2. 利用汇编语言实现一个可以在显示器上显示时、分、秒的电子时钟,并能提供整点报时功能。设计一个基本的具有显示时、分、秒的电子时钟。 到整点或预定的报警时间,能够以不同的音乐进行报时,可以自行设置闹钟报警时间; 实物演示时要求讲出程序原理和设计思想; 程序运行良好、界面清晰。 -The use of assembly language implementation on a show in the display hours
  3. 所属分类:汇编语言

    • 发布日期:2024-06-16
    • 文件大小:4096
    • 提供者:sunyuancheng
  1. 123

    0下载:
  2. 时钟调时和显示,闹钟和调闹钟的功能,整点报时。
  3. 所属分类:汇编语言

    • 发布日期:2024-06-16
    • 文件大小:2048
    • 提供者:江小琴
  1. abcd_58049

    0下载:
  2. verilog 时钟 整点报时 广播报时 自主调节定时报 闹钟设置-verilog clock
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:1854464
    • 提供者:
  1. matlab数字时钟

    0下载:
  2. matlab数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。(Matlab digital clock, the design of a display date, hour, minute, second digital electronic clock, and with the whole
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-16
    • 文件大小:1436672
    • 提供者:小蛋008
  1. A211341614-7079

    0下载:
  2. 可实现整点报时,卡通界面,可重启计算机,关闭计算机(Give the correct time)
  3. 所属分类:Windows编程

    • 发布日期:2024-06-16
    • 文件大小:235520
    • 提供者:是绒滨
  1. 2

    0下载:
  2. 设计一个具有时、分、秒计时的电子钟,按24小时计时。要求: (1)数字钟的时间用六位数码管分别显示时、分、秒; (2)用两个控制键,对数字钟分别进行分、时校正; (3)具有仿广播电台整点报时的功能。即每逢59分51秒、53秒、55秒及57秒时,发出4声500Hz低音,在59分59秒时发出一声1kHz高音,它们的持续时间均为1秒。最后一声高音结束的时刻恰好为正点时刻。 (4)具有定时闹钟功能,且最长闹铃时间为1分钟。要求可以任意设
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:52224
    • 提供者:LIMBO2K
  1. 数码管时钟 电子钟 时分秒 可调时分 8086 仿真ASM

    1下载:
  2. 数码管时钟 电子钟 时分秒 可调时分 基本功能 (1) 可由按键调整时间 (2) 可整点报时(“嘟、嘟”声) (3) 可设定时,定时时间到发出“嘟、嘟”声 显示器件可选 段式LED显示或者LCD模块显示,嘟嘟声可选用蜂鸣器。(Digital tube clock electronic clock hour minute second adjustable time basic function (1) The time can b
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:561152
    • 提供者:lkjmnhjgbv
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org