搜索资源列表

  1. 文件相似度比较器

    1下载:
  2. 文件相似度比较器: 1。 采用标准的 Levenshtein Distance 算法计算两个文件的相似度。 2。 程序使用简单。选入多个文件,然后按分析便个分析出两个文件之间的相似程度。 3。 发现问题欢迎和我讨论。 E-mail: hackerqc@gmail.com-document similarity comparison : 1. Standard Levenshtein Distance algorithm si
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:433986
    • 提供者:覃超
  1. 8位大小比较器

    0下载:
  2. 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL descr iption of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL descr iption of a 4-bit magn
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1216
    • 提供者:蔡孟颖
  1. 基于单片机内置比较器的高精度

    0下载:
  2. 基于单片机内置比较器的高精度-embedded microcontroller based on the comparison with high precision
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:46270
    • 提供者:董沙瓤
  1. AVR学习笔记六、模拟比较器和ADC实验

    0下载:
  2. AVR学习笔记六、模拟比较器和ADC实验
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 比较器的各种表示方式

    0下载:
  2. 可以用版本10.1打开工程文件,用VHDL的三种表达方式来做同样功能的比较器
  3. 所属分类:VHDL编程

  1. 比较器的测试矢量

    0下载:
  2. 一个很好的testbench的例子。
  3. 所属分类:VHDL编程

    • 发布日期:2011-10-28
    • 文件大小:3934
    • 提供者:daxuerushui
  1. 基于单片机内置比较器的高精度

    0下载:
  2. 基于单片机内置比较器的高精度-embedded microcontroller based on the comparison with high precision
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-28
    • 文件大小:46080
    • 提供者:
  1. 100个vhdl设计例子

    0下载:
  2. 内附多路选择器,74系列芯片VHDL源码,加法器,FIR,比较器等大量例子,对初学VHDL语言很有好处。可用maxplus,quartus,synplicity等综合软件进行调试-contains multiple-choice, 74 chips VHDL source code, the adder, FIR, comparators, etc. are plenty of examples for beginners VHDL v
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:233472
    • 提供者:杰轩
  1. baud5k

    0下载:
  2. c8051f040中比较器0调试程序,带中断处理和比较器状态crossbar输出源程-c8051f040 relatively 0 debugger, with interrupt handling and Comparators state crossbar output- source
  3. 所属分类:组合框控件

    • 发布日期:2024-05-28
    • 文件大小:35840
    • 提供者:王沁
  1. 文件相似度比较器

    0下载:
  2. 文件相似度比较器: 1。 采用标准的 Levenshtein Distance 算法计算两个文件的相似度。 2。 程序使用简单。选入多个文件,然后按分析便个分析出两个文件之间的相似程度。 3。 发现问题欢迎和我讨论。 E-mail: hackerqc@gmail.com-document similarity comparison : 1. Standard Levenshtein Distance algorithm si
  3. 所属分类:其他小程序

    • 发布日期:2024-05-28
    • 文件大小:434176
    • 提供者:覃超
  1. 8位大小比较器

    0下载:
  2. 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL descr iption of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL descr iption of a 4-bit magn
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:1024
    • 提供者:蔡孟颖
  1. TEST44x_ca02

    1下载:
  2. msp430单片机比较器A程序 ,很好呀,已经通过验证了!-Controller SCM Comparators A procedure, ah well, he has passed the test!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-28
    • 文件大小:1024
    • 提供者:yuan
  1. compbijiaoqi

    0下载:
  2. 一个比较器的实现方法,方法比较简单,作为大家设计时的参考-a comparison of the method is relatively simple method, as we design reference
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:122880
    • 提供者:汪涌
  1. Comparators_16B

    0下载:
  2. verilog 实现 优化的16位比较器 可以输出大于,小于,等于。模块化设计,可扩展为32位-Verilog achieve optimization of 16 compared with the output can be greater than, less than, equal to. Modular design, which can be expanded to 32
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:21504
    • 提供者:夏虫
  1. fifo_01

    0下载:
  2. 8位相等比较器,比较8位数是否相等 -- 8-bit Identity Comparator -- uses 1993 std VHDL -- download from www.pld.com.cn & www.fpga.com.cn-eight other phase comparators, Comparing the same whether the median 8-- 8-bit Identity Compara
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:1024
    • 提供者:罗兰
  1. COMP

    0下载:
  2. 经过精心设计的比较器的代码,并在FPGA硬件平台实现和验证过的-Meticulously designed comparator code, and FPGA hardware platform and tested
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:1024
    • 提供者:hewg
  1. 比较器A 两路

    0下载:
  2. 向单片机输入两路信号进行比较,比较出两路信号电压高低,输出1或0.(Compare two signals to the microcontroller, compare the voltage of two signals, and output 1 or 0.)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-28
    • 文件大小:15360
    • 提供者:柯南君
  1. 比较器1

    0下载:
  2. 实现两个数字的比较大小,包括顶层文件和源文件以及测试文件。(To achieve the size of the two figures.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:1024
    • 提供者:小二
  1. 38-比较器做ADC-C语言-模拟串口

    0下载:
  2. STC15单片机利用ADC做模拟比较器,基于库开发(STC15 MCU uses ADC as a comparator)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-28
    • 文件大小:46080
    • 提供者:shawyj
  1. 311无自激过零比较器

    0下载:
  2. LM311过零比较器,加入正反馈,有效降低自激振荡,低频效果良好(LM311 over zero comparator, add positive feedback, effectively reduce self-excited oscillation, low frequency effect is good.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-28
    • 文件大小:273408
    • 提供者:Eder
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org