搜索资源列表

  1. FinMax

    0下载:
  2. 用java的数据类型比较大小- Compares the size with the java data type
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:2001
    • 提供者:杨洋
  1. 8位大小比较器

    0下载:
  2. 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL descr iption of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL descr iption of a 4-bit magn
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1216
    • 提供者:蔡孟颖
  1. wzChess

    0下载:
  2. 主要功能实现二人对弈,人机对弈,可以悔棋.其中关于人机对弈,我用了一个权值进行比较来实现,就是对双方(双二,双三,双四,冲二,冲三,冲四)(当然每种情况的分值都有悬殊)的和来比较大小,取最大的那个点,智能算中下.-achieve two main functions, plays, man-machine game, he never cheat in spain. Which players on the plane, I use t
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:61870
    • 提供者:高仲富
  1. duizhao

    0下载:
  2. 字符串比较程序 输入两个不同的字符串能比较大小-string compare two different procedures for the importation of the string can compare size
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:9081
    • 提供者:我笑
  1. hm3

    0下载:
  2. 实现扑克牌的洗牌算法。 将52张牌(不包括大、小王)按东、南、西、北分发。 每张牌用一个对象代表,包括:牌的类型、大小序号、名称。 例如:黑头A的牌型为S,序号为13(在K之后),名称为A。 比较牌大小时按序号比较大小(2的序号最小、A最大) 显示牌时将按牌型、名称显示。 例如:东家最后牌为: S:1,4,12 H:7,9 D:2,4,8,10 C:4,5,12,13 注
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1561
    • 提供者:黄铭
  1. puker0411scott

    0下载:
  2. 这是一个练习链表的扑克牌程序,可以自动发牌,比较大小。如果想和计算机对战,只需要稍微改动一下程序即可。-This is a practice Chain cards procedures, it will automatically licensing, size comparison. If you want to, and computer war, only slightly modifying procedures.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2265
    • 提供者:zx
  1. Kruscal_C++_implement

    0下载:
  2. 1.kruscal_CDT: 在Eclipse里面的CDT和MinGW(提供gcc)的环境下所编写的。 2.kruscal_VC2005: 在VC2005里面编写的。 3.以上两个的代码有点不同,区别主要在于compare函数 4.kruscal算法里面,我有两个不同的实现,一个是最小化堆里面放EdgeNode的指针,一个是最小化堆里面放EdgeNode的Object实例。前者使用了compare函数来比较大小,后者则
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:983909
    • 提供者:gfoto
  1. 24856jhmn9

    0下载:
  2. 两个数比较大小 两个数比较大小-compared Size 2 2 2 size compared compared Size
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:924
    • 提供者:gfhs
  1. 10个数比较大小

    0下载:
  2. 一个C++的外部函数(10个数比较大小)来看看吧!-an external C function (10 Number comparison size) at the end of!
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:83309
    • 提供者:12
  1. 10个数比较大小

    0下载:
  2. 一个C++的外部函数(10个数比较大小)来看看吧!-an external C function (10 Number comparison size) at the end of!
  3. 所属分类:文件操作

    • 发布日期:2024-06-04
    • 文件大小:82944
    • 提供者:12
  1. 8位大小比较器

    0下载:
  2. 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL descr iption of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL descr iption of a 4-bit magn
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:蔡孟颖
  1. duizhao

    0下载:
  2. 字符串比较程序 输入两个不同的字符串能比较大小-string compare two different procedures for the importation of the string can compare size
  3. 所属分类:C#编程

    • 发布日期:2024-06-04
    • 文件大小:139264
    • 提供者:
  1. MASM

    0下载:
  2. 三个数的比较大小在汇编操作环境下的运用并把他们储存在物理储存器里 -Comparison of the size of the number three in the compilation of operational environment and their use is stored in physical memory Lane
  3. 所属分类:汇编语言

    • 发布日期:2024-06-04
    • 文件大小:2048
    • 提供者:珇慧
  1. MAX_MIN

    0下载:
  2. 这是一个比较大小的程序 输入三个数 就可以比较出最大和最小的数-This is a relatively small number of procedures for the importation of the three can be compared on a number of the largest and the smallest
  3. 所属分类:Windows编程

    • 发布日期:2024-06-04
    • 文件大小:7168
    • 提供者:vany
  1. 5.1

    0下载:
  2. 已知两无符号数,比较他们的大小-Two number of known symbols to compare the size of their
  3. 所属分类:汇编语言

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:王占涛
  1. bitmap_bit

    0下载:
  2. VC++ 位图大PK程序,两幅BMP图像比较大小,希望能对大家有所帮助 -VC++ big PK bitmap program, comparing the size of two BMP images, hoping to be helpful
  3. 所属分类:图形图象

    • 发布日期:2024-06-04
    • 文件大小:339968
    • 提供者:madud00034
  1. 比较三个数的大小代码

    0下载:
  2. 这个主要用c编的而且主要用于比较数的大小(Mainly used to compare the number of sizes)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-04
    • 文件大小:2048
    • 提供者:aliboci
  1. 比较器1

    0下载:
  2. 实现两个数字的比较大小,包括顶层文件和源文件以及测试文件。(To achieve the size of the two figures.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:小二
  1. bigger

    0下载:
  2. 比较两个数的大小,并且用消息框实现输入输出(Compare the size of the two numbers, use the message box to realize the input and output)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-04
    • 文件大小:3072
    • 提供者:UXCC
  1. EA

    2下载:
  2. 是一个比较成熟的mt4自动交易系统的源代码(It is a mature source code of MT4 automatic trading system)
  3. 所属分类:文档资料

    • 发布日期:2024-06-04
    • 文件大小:12288
    • 提供者:无执不失
« 12 3 4 5 6 7 8 9 10 ... 38 »

源码中国 www.ymcn.org