搜索资源列表

  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:129024
    • 提供者:623902748
  1. shumaguan

    0下载:
  2. CH451是一个整合了数码管显示驱动和键盘扫描控制以及P监控的多功能外围芯片。CH451内置RC振荡电路,可以直接动态驱动8位数码管或者64位LED, 具有BCD译码或不译码功能,可实现数据的左移、右移、左循环、右循环、各数字独立闪烁等控制功能。 CH451内置大电流驱动级, 段电流不小于30mA,字电流不小于160mA,并有16 级亮度控制功能;在键盘控制方面,该器件内置64键键盘控制器,可实现8×8矩阵键盘扫描,并内置去抖动电路,可
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:2048
    • 提供者:秋落
  1. shifter_8bit

    0下载:
  2. 此实验实现一个8位的循环移位寄存器,移位的频率是2Hz,移位的方向(左移或是右移)可控。为了能显示移位的结果,我们采用一个数码管的8个段来表示这个寄存器的值。-The experimental realization of an 8-bit cyclic shift register, the shift frequency is 2Hz, the shift in the direction (left or right) contr
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:342016
    • 提供者:王晨
  1. xuehao

    0下载:
  2. 在六个数码管滚动显示自己的学号(六位),每隔一定时间循环移位一次,学号为奇数则左移,学号为偶数则右移。间隔时间可由开关选择1秒,2秒,3秒和4秒。-In the six LED scrolling display their student number (six), rotate once every certain period of time, learning number is odd, then the left, stud
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:373760
    • 提供者:
  1. ZUOYI

    0下载:
  2. 数码管循环左移 日期:2009.5 修改:无 内容:多位数码管分别显示不同数字,这种扫描显示方式成为动态扫描,并不停变化赋值-Digital pipe loop left
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:黄木彬
  1. 4_LED

    0下载:
  2. 4位LED数码管动态显示学号,每次往左移一位,左移完16个字符,数码管全灭,然后重新开始循环。-4 LED digital display student number
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-11
    • 文件大小:52224
    • 提供者:彭思瑞
  1. shumaguanyidong

    0下载:
  2. 数码管循环左移,可以做一些基本的单片机测试及功能实现-Digital tube cycle shift to the left, can do some basic tests and microcontroller implementation
  3. 所属分类:其他小程序

    • 发布日期:2024-06-11
    • 文件大小:15360
    • 提供者:陈子龙
  1. segment_display

    0下载:
  2. 要求学生在六个数码管滚动显示自己的学号(六位),每隔一定时间循环移位一次,学号为奇数则左移,学号为偶数则右移。间隔时间可由开关选择1秒,2秒,3秒和4秒。-Requires students in six digital scroll to show their student number (six), rotate once every certain period of time, the student number is od
  3. 所属分类:邮电通讯系统

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:tianjing
  1. 51Digital-tube-left-shift-program

    0下载:
  2. 数码管左移程序,主要实现数码管循环左移的功能-Digital tube left shift program
  3. 所属分类:其他小程序

    • 发布日期:2024-06-11
    • 文件大小:12288
    • 提供者:姜维
  1. s09_lab09_1a

    0下载:
  2. 编程在8位数码管上面显示“12345678”8个数字,每经过1秒8个数字循环左移一位显示。依次为:12345678、23456781、34567812…… 要求: 程序中定义一个段码表(例如0x00-0x0F) 采用“显示缓冲区”的方式储存待显示的数字(1-8),显示缓冲区只有一个,并且长度为8字节-Programming in the top eight digital tube display 12345678 eight fi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-11
    • 文件大小:14336
    • 提供者:林琳
  1. XianShiRiQi(weizhun)

    0下载:
  2. 数码管显示日期,用verilog语言书写,8个数码管可循环左移-Digital tube display the date, written in verilog language, eight digital tube can be cyclic shift to the left
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:3072
    • 提供者:lzx
  1. shumaguanzuoyi

    0下载:
  2. 单片机数码管动态循环左移c程序,已通过测试,在keil环境可生成.hex文件-Single-chip digital tube dynamic cycle left c program, has passed the test, can be generated in keil environment. Hex file
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:3072
    • 提供者:白凝
  1. b1

    0下载:
  2. 通过ATmel公司的mega328p芯片作为核心,使用4段移位数码管,通过usb连接电脑串口。用arduino的串口侦查器发送命令实现: 1.显示任何4位数(含小数)。 2.对改数进行末位加一(不进位)。 3.对改数进行乘十,当到达无小数点时自动变成除十,当小到无法显示自动变成乘十。 4.循环左移和循环右移(小数点位置不变)。(Through ATmel company's mega328p chip as the core,
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 40-数码管循环左移

    0下载:
  2. 40-数码管循环左移(40- digital tube loop left shift)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-11
    • 文件大小:12288
    • 提供者:1rh53vzr
  1. CH451C54

    0下载:
  2. CH451的子程序 ● 内置大电流驱动级,段电流不小于25mA,字电流不小于150mA。 ● 动态显示扫描控制,直接驱动8 位数码管或者64 只发光管LED。 ● 可选数码管的段与数据位相对应的不译码方式或者BCD 译码方式。 ● 数码管的字数据左移、右移、左循环、右循环。 ● 各数码管数字独立闪烁控制。 ● 通过占空比设定提供16 级亮度控制。 ● 支持段电流上限调整,可以省去所有限流电阻。 ● 扫描极限控制,支持1
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:9021XXX

源码中国 www.ymcn.org